航天機構
履歴
what's new
水城
self introduction
読書
bookreview
宇宙
space development
化猫
"GEOBREEDERS"
雑記
text
他薦
link
Send mail to:mizuki@msd.biglobe.ne.jp

lifelog

not diary, not blog

-過去ログ-



中国・酒泉射場の事故死者 -2009年9月15日(火)22時12分


"中国の宇宙飛行事業の創立者、中国のミサイルの父の聶栄臻元帥を先頭に、彼の雄大にまっすぐに立つ漢白玉の墓碑の後、将軍から兵士まで10列縦隊で順次並んだ、670名余りの英霊、平均年齢は24歳です"


一部悲壮的中国航天史


中国は酒泉射場の関連施設のある10号基地の東北、9号基地との中間辺り、9号半と呼ばれている場所に、酒泉射場で死んだ犠牲者たちの墓地がある。google Mapでは以下のURLの位置である。


http://maps.google.co.jp/maps?&hl=ja&ll=41.015915,100.2404&z=18


しかし670名とは。殆どは初期の建設工事、文革の最中の困難な事業での死者なのだろうが、それでもこれはちと多すぎる。想像をあっさり越えていた。



M-20エミュレータ -2009年7月29日(水)21時50分


Google CodeでM-20エミュレータ開発プロジェクトを見つけた。

http://code.google.com/p/m20/

これは、旧ソ連の1950年代後期の汎用機、M-20のエミュレータを作ろうというプロジェクトである。

M-20は1958年に登場した語長45bit、メモリ空間4096ワードのコアメモリと、12288ワードの容量を持つ磁気ドラム、その他磁気テープ等の外部記憶装置をサポートした汎用コンピュータである。高信頼性小型真空管1600本とゲルマニウムダイオード多数によって構成され、浮動小数点演算をサポートして0.02MFLOPSの性能を持っていた。

1958年はアメリカで最初の全トランジスタ機IBM7070が生まれた年である。ただ、この時期のソ連の技術が大きく遅れていたと一概に決め付けることはできない。例えば、M-20は、自動ミサイル迎撃システム用のスペシャル機M-40の民生化バージョンだったのだが、M-40は1956年に0.04MIPSの性能を達成している。当時の最高速機というと、1955年に登場したIBM704で、性能は同じく0.04MIPSである。

M-20の基本的な命令構成はソ連における極初期のコンピュータ、BESMとほぼ同じものだったが、アーキテクチャは命令の一部同時実行と非同期出力によって大幅な性能向上を達成していた。桁上がりも高速化され、1,2,4bitのシフト命令が追加されて、加減算と積算は大幅に高速化されている。プログラムのうち次に使用される命令はROMから予めRAMに呼び出された。

動作の遅い出力装置の動作終了を待つことなく次の命令を実行できる非同期化は、磁気ドラムにバッファメモリを搭載することによって実現されていた。

このようなアーキテクチャ改良は、同時期のIBMのコンピュータ709にも見られた。特にプログラムの先行読み出しは、その後のパイプラインやキャッシュメモリといった高速化技法のはしりとして評価できる。M-20ではそのために、命令を固定長化し、柔軟なインデックス操作演算を可能としていた。

開発はソ連コンピュータの祖であるレベデフ(С.А.Лебедев)を総責任者とし、論理及びコマンドセット設計をシュラブラ(М.Р.Шура-Бура)が、渉外交渉をスルム(М.К.Сулим)が担当した。

この開発はITMVIT(精密機械およびコンピュータ研究所)が中心となっていたが、開発へのSDB-245(第245特別設計局)の参加が政府から働きかけられた。ITMVITはアーキテクチャ及び回路設計とコンポ−ネント開発を担当し、 SDB-245 はドキュメント管理と試験モデルの製作を担当した。

1957年初頭に、M-20の試験モデルが組み立てられ、予想に反して複雑なものになったことが判明した。改良が必要である事は明白であったがコンピュータに対する需要は切迫したものがあったため、レベデフはとりあえずM-20用に開発したコンポーネントをBESMのそれと交換した機種、BESM-2を場つなぎの量産機としてリリースした。

M-20は改良ののち1958年に量産が開始された。M-20ではハードウェアと一緒にシュラブラによって作られたソフトウェアが提供され、これらは性能の高さと相俟って非常な人気を呼んだ。よく考えられた命令セットはプログラマーに特に好評だった。

カザンでの商業生産は1965年まで続き、その後は半導体化され2MIPSまで性能を向上させた近代化互換機、M-220とM-222の生産に切り替えられた。


M-20がソ連のコンピュータ史において重要であるのは、ユーザーグループの結成されたソ連最初のコンピュータであり、ソ連のソフトウェア開発史においても一つの結節点となった存在だからである。

M-20のユーザーグループは、1961年にシュラブラをその会長としてソ連科学アカデミーによって結成された。ユーザーグループの結成は、アルゴリズムとプログラムの研究、標準化されたソフトウェアとプログラム言語の開発、そしてそれら成果の効率的な相互交換を目的としていた。ユーザーグループにより情報交換の機会が現れたことは、ほぼ即座に多くの成果へと繋がっていった。

ALGOL-60の完全な仕様記述が西側で出版されるとすぐに、M-20への実装プロジェクトが彼らの中で3つ平行して開始された。

S. Lavrov は TA-1コンパイラを開発した。TA-1にはある程度の再帰を実現すると同時に、再帰でメモリを食い潰さないよう安全装置が組み込まれていた。同時に、最適化をOFFにするクイックコンパイルのオプションが用意されていた。

シュラブラと Lyubimsky はTA-2コンパイラでALGOL-60の完全な実装を目指した。 このコンパイラでは完全な再帰がサポートされ、柔軟な型指定と数学的に抽象化されたメモリ空間が実現されていた。

シュラブラは更にIS-2インタプリタを作った。整備されたライブラリサブリーチンは、このインタプリタをある種のオペレーティングシステムにしていた。やがてIS-2は人気のある言語となっていった。

A.P. Ershov 、 G.I. Kozhukhin と l.V. Pottosin はALPHAコンパイラを開発した。彼らはALGOL-60を拡張し、ALPHA言語を創造した。

ALPHAは変数宣言時の初期値代入や複素数のサポートを機能として持っていたが、再帰をサポートしなかった。ALPHAコンパイラは中間言語を生成するマルチパスコンパイラで、これでメモリの節約とライブラリ使用の高速化を実現した。


さて、このプロジェクトでは、M-20エミュレータのみならず、Cコンパイラ、アセンブラ、ディスアセンブラまで作ろうとしている。M-20にCコンパイラが存在していたとは聞いたことが無いし、その歴史において存在もしなかっただろう。ただ、ソースの中にはIS-2インタプリタ言語のバイナリイメージがあるようだ。但しサンプルではまともな応答が得られていない。

シミュレータの動作は確認できた。テキスト出力が化けるのは仕方が無い。アセンブラは……アセンブラソースはUTF-8のロシア語表記で書かないといけないようだ。


BESM-6のエミュレータのほうは相変わらず開発が進んでいるようだ。

http://sourceforge.net/projects/besm6/

上記プロジェクトは、以前紹介したhttp://www.mailcom.com/besm6/のソースとは系統が違うようだ。割とすんなりとコンパイルできた。新しいプロジェクトのエミュレータは、UTF-8を含む複数の文字コードをサポートしている。ウェットストーンがさくっと実行できた。完成度はかなり高い。


------------------------------------------------------------------------

さて、コミックマーケット76、日曜(16日)西へ01b『風虎通信』にて、「宇宙の傑作機別冊 スカッドミサイル」頒布致します。


弾道ミサイルの代表格となったスカッドことR-11/R-17ミサイルと、その派生型を一挙取り上げます。勿論北朝鮮のアレコレもガッチリやります。もうスカッドの推進剤について思い悩むのはお終いです。……そんなこと思い悩んでいたのは私だけですか?

宇宙機でも宇宙用打ち上げ機でもないミサイルの筈ですが、これが思いの他宇宙開発に接近します。イラン(ガチで宇宙開発しています)、イラク(実は衛星打ち上げ機を開発していました)、そして話題の北朝鮮のアレもきっちり考察します。その来歴、派生型も過剰なくらい網羅しました。兵器としての側面もしっかり抑えます。そして、政治的側面も……

ミサイルとロケットの区別がつかない人、民生機と兵器の区別がつかない人、根拠無しに区別している人、弾道ミサイル技術の基礎が知りたい人、第三世界の液体ロケット技術の拡散を概観したい人、確かな情報から自分で計算、考察してみたい人、そういう人に一読をお勧めします。



NOTSNIK #2 -2009年6月16日(火)22時40分


 1948年7月4日に、まず地上からの発射試験が行われた。これは一段目の4本束ねた固体モータのうち2本をダミーにして、残り2本で点火と安定飛翔ができるかを試したのだが、これは点火1秒後に爆発した。7月18日に同じ試験を行ったが、これまた同じ結果に終わっている。機体はほとんど飛翔することなく爆発したのだ。

 しかし、こんなザマの代物を飛行機に乗せてフルシステム、本番の飛行が8月25日に行われた。カリフォルニアのインヨカーン基地から飛び立ったF4Dは問題なくNOTS-EV-1を分離、NOTS-EV-1は爆発することも無く飛翔を続け、F4Dはそのままムグ基地に降りた。全ては完璧に動作したように見えたが、しかし、衛星からの信号は確認することは出来なかった。

 8月12日に二度目の飛行が行われた。しかしNOTS-EV-1は点火直後に爆発した。

 チャイナレイクでは地上試験が続けられていた。8月16日の試験は、点火3.2秒後に機体破壊により失敗。翌17日の試験では点火3秒後に再び機体破壊。

 こんな有様でもフルシステム打ち上げ飛行は続行された。地上でよく飛ばないような代物をよく航空機に搭載するものだと思うのだが、チャイナレイクの連中はもともと機載ミサイルの開発が専門で、サイドワインダー空対空ミサイルも彼らが作ったものだった。だから彼らにとって空中発射こそがやりたいことであって、リスクが少なく安全で大型化が見込める地上発射には興味が無かったのだ。

 8月22日の飛行では、再びNOTS-EV-1の正常な動作が確認できた。が、結局衛星からの信号は確認することは出来なかった。疑わしい信号がニュージーランドの地上局で2,3例捕捉されたが、本物であるという確証は全く無い。

 8月25日の飛行では、NOTS-EV-1は飛行開始0.75秒後に爆発した。8月26日の飛行ではNOTS-EV-1は分離後一段目に点火せず、そのまま太平洋上に落下した。8月28日の飛行では一段目の固体モータペアのうち1つに点火せず、そのまま構造破壊してしまう。

 こうしてNOTS-EV-1の6回の打ち上げは全て失敗し、プロジェクトPilotは終了した。


 しかしNOTSNIKは亡霊として蘇った。今度は母機をF-4ファントムIIに変更し、先代の最大の失敗原因だった一段目をクラスタではない単体の新造固体モータに変更したのだ。ちなみにF4Dはその頃の海軍の命名規則改定で、F-6ということになっている。

 プロジェクトCaleb、新型機NOTS-EV-2はサウンディングロケットHi-Hoeとして、またASATプロジェクトSIP(Satellite Interceptor Program)として生きてゆくことになる。

 NOTS-EV-2は一段目が新造固体モータNOTS-500、二段目は改良型のABL X248、三段目と四段目は変化無しだった。四段目の改良型が開発されていたが、但し三段目と四段目は現実には搭載されず、フルシステムの飛行はついに行われなかった。

 Hi-Hoeは弾道飛行ミッションとして、1960年7月から1962年まで、空中発射5回、そして成功は最後の一回のみだった。

 SIP計画ではNOTS-EV-2は地上打ち上げで運用されることとなった。こちらは二度の打ち上げはいずれも成功したものの、フルシステムの試験に進むことなく開発は打ち切られた。この計画は後のASAT開発の嚆矢として評価する向きもあるようだが、ASATは上段に誘導システムがなければ成立しない。コールドガススラスタを持ち恐らくレーダーで誘導される誘導弾頭衛星が開発されていたが、この目標は当時の技術水準を越えたものである。また、SIPは地上発射で、しかも二回中途半端な試射をしたきりで中断されている。SIP計画は後のASATに殆ど影響を与えていないというのが、正直なところの自分の評価である。

 こうして衛星打ち上げの夢、NOTSNIKの夢はきっぱりと絶たれ、逆に衛星を攻撃するASAT機への切ない転進を果たしたNOTS-EV-2は、最後にはもうありとあらゆる夢破れた残骸に成り果てたのだった。


上記内容は以下のサイトを参考にしました。

http://www.aerospaceweb.org/question/spacecraft/q0271.shtml

http://www.designation-systems.net/dusrm/app4/notsnik.html

http://www.astronaut.ru/bookcase/books/afanasiev3/text/12.htm

http://www.designation-systems.net/dusrm/app4/caleb.html

http://space.skyrocket.de/doc_lau/caleb.htm



NOTSNIK #1 -2009年6月15日(月)22時08分


 スプートニク打ち上げは世界に様々な影響をもたらしたが、そのうちの一つ、プロジェクトPilot、通称NOTSNIKは、フォン・ブラウンらとは違う知られざるアメリカの宇宙への挑戦だった。

 プロジェクトPilotで開発された空中発射式衛星打ち上げシステムNOTS-EV-1は、F4D戦闘機から空中発射される5段式全固体打ち上げ機である。システム全重量は(F4Dを含まないで)900kg、ペイロードは最上段込み(燃焼終了後)で1.05kg、赤外線センサを積んで地上監視を行うことを目的としていた。

 この計画はカリフォルニア州チャイナレイクの海軍兵器テストステーション(NOTS)で提案され、1958年初めに開発は承認された。これは1958年8月の高々度核実験オペレーションArgusに間に合わせることを目指していた。ペイロードである衛星の通称がNOTSNIK、これはNOTSとスプートニクの合成語である。

 F4Dスカイレイ戦闘機は打ち上げ機NOTS-EV-1を翼パイロンにぶら下げて飛び、高度12500m、時速740km(マッハ0.7)で50度機首を上げてズーム上昇から分離、発射する。そのためF4Dを初段とする6段式システムだと言う人もいる。しかし初段としては200m/s程度しかデルタVを稼いでおらず、高度も0.2気圧とまだまだ高いところでの分離なので、正直空中発射も大して嬉しくない。

 しかも、所詮下段は既成品の固体モータを4つ束ねただけの代物で能動姿勢制御も無く、まっすぐ飛べるのは尾翼のおかげである。これではあまり高空で分離しても無意味だっただろう。チャイナレイクの連中は基本的に空中発射の利点を理解しないまま開発をおこなっている。特に一段目モータを4つ束ねることは高膨張ノズルの使用を制限していた。このシステムの利点は射点を自由に設定できること位しか無い。

 一段目/二段目は4本束ねられたUMM-44サブロック用固体モータHOTROCなのだが、一段目はそのうちの対称位置の2基、これが分離3秒後に5秒燃やされ、更にそこから12秒後に、残り2基の固体モータがまた5秒燃やされるという具合で、一段目と二段目は分離されない。だから純正のロケット屋的、ツィオルコフスキー的には、これは変な燃焼の仕方をする一段目でしかない。

 三段目(ツィオルコフスキー的二段目)が点火する頃には高度は80kmを超えている。ようやく一段目と二段目が分離され、恐らくここで全体を覆うフェアリングが投棄される。ここから上の段はスピンで姿勢安定を図った筈で、三段目点火前にスピンモータか何かで機体にスピンをかけたと思われる。

 三段目はABL X241モータで、これの燃焼が一番長く36秒である。四段目の新造NOTS-100固体モータの燃焼は5.7秒、そして衛星でもある五段目の、3インチ径500グラムの球状固体モータのひと押しで1.05kgの衛星は軌道に乗る筈だった。ちなみに五段目は進行方向に対して逆噴射の位置に向いている。全てにおいて能動姿勢制御は無い。F4Dからの分離時角度が50度で、重力損失を差し引いて大体弾道飛行する筈であり、弾道飛行の頂点で水平に噴射するのが最も効率的だが、タイミングを計ればこの位置でも衛星は軌道に乗る。

 ロケットは分離時の姿勢のまま真っ直ぐ加速していることを思い出して欲しい。ロケットはそのままの姿勢を保つが、地球を周回するおかげでロケットの対地角度は時間が経つにつれてどんどん変わる。噴射して軌道に乗ることができるベクトルがやがてやってくることが理解できただろうか。五段目が横に噴射できれば話は簡単だったのだろうが、ノズルが横向きではなく上向きなのは、スピンしている(筈の)NOTS-EV-1打ち上げ機で姿勢が確定しているのは機軸方向だけだからである。他に選択肢は無いのだ。

 スピンしていなければ絶対姿勢安定が取れないNOTS-EV-1だが、五段目は見るからにスピン姿勢安定を意識した姿になっている。バーベルの重りの一番小さな円盤の真ん中の穴にノズルを生やした形状で、直径20センチの円盤の、側面の一箇所にセンサ用の穴が開いている。この中央に球状固体モータが埋め込まれていた。

 衛星は遠地点高度2400km、近地点高度60kmという、極端に近地点の近い楕円軌道に乗る筈だった。この低さでは1周回できるかも怪しい。この軌道は狙ったものではなく、システムの限界からきたものだろう。衛星の赤外線センサは初期の極めて原始的なものだったが、イメージを取得して地上に送信可能だったとされている。また、オペレーションArgusにあわせて上空の大気密度を取得する筈だった。



日本における大陸間弾道ミサイル開発史#2 -2009年4月1日(水)00時07分


日本が配備した第二世代目の弾道ミサイルは、十式対地弾道弾の後継として開発されていた、いわゆる"G-XI"ではなかった。それはそもそも別の必要から生まれたミサイルだったのだ。

北朝鮮の弾道ミサイルに対する日本のパニックにも似た感情は、偵察衛星の配備に続いて、独自の早期警戒衛星の開発と配備に踏み切らせた。これは短期的には北朝鮮の弾道ミサイルを、中長期的には中国とロシアのものを監視対象とするものとなり、そしてとにかく近々の脅威、すなわち北朝鮮の弾道ミサイル発射を探知する事を至上命題として開発が開始された。

開発は開発スケジュール策定の段階で大きな問題に直面する事となる。試験の最終段階では実際の弾道ミサイルの発射を探知しなければならない。当初、最終試験を2014年下半期、日米共同開発のスタンダードSM-3の迎撃試験にあわせて、ウェーク島から打ち上げられるオービタルサイエンスSR-19/SR-19固体二段式ロケットターゲットを観測することで行なう方向で、日米の政府間調整が行なわれていた。実際のところ、衛星配備予定の東経140度付近の静止軌道から打ち上げを観察できるアメリカの射点は、ウェークしか無かったのだ。

しかし、この迎撃実験は、アメリカ西海岸のムグ射点から打ち上げたものをハワイ東沖合で迎撃するというものに変更された。これでは弾道ミサイルの発する噴射炎を衛星から観測する事ができない。この変更は日本側からの抗議にも関わらず強行された。これは日米共同開発であるスタンダードSM-3ブロックIIと違い、日本独自開発である早期警戒衛星の開発を手助けするべきではないという論調が米議会にあったことが原因だった。これはこの時期、日本の衛星開発の最大手ベンダーにロッキードマーチン及び長城工業総公司が早期警戒衛星の売り込みを行なっていたのと無関係ではあるまい。

主センサの航空機での基礎実証試験に2011年度に成功した日本は、衛星の独自開発を強行した。これは国家の安全保障のために不可欠な衛星には公開入札の必要は無いとする日本政府に対し、中米両国が”実用衛星に関して公開入札を行なう”という約束を遵守するよう求めたため、最悪中国製の衛星を買う羽目になることを恐れて早期警戒衛星を技術開発衛星扱いにしたためである。同時に情報収集衛星も技術開発衛星扱いであるとされた。

一時は、衛星の静止軌道上の位置を変更して試験を行なう事さえ考慮されたが、最終的に試験用ターゲットは内製することとなった。試験用ターゲットとして関係者の脳裏にあったのは種子島に保存されているJ-Iロケット二号機だった。

防衛省技術研究本部に移管されたJ-I 二号機から二段目以上が取り払われ、代わりに1.5トンの模擬弾頭が取り付けられた。もともとのJ-I 二号機の誘導方式は無線誘導だったが、地上設備の都合で慣性誘導に変更された。この"早期警戒衛星機能実証試験ターゲット"(EWSTT-1)の打ち上げは、2014年11月に新島射場から行なわれ、目的の機能実証試験共々成功した。しかし、ここで関係者は、図らずも射程2000km超の単段固体弾道ミサイルを作ってしまった事に気が付いてしまった。これは二段目を付けるとアメリカのMGM-118Aピースキーパーに匹敵する性能になる。

日本が本格的な核武装に踏み切ったのは2016年初頭の頃と思われる。日本の核兵器開発の最大の障害は国民感情と核実験場の確保だったが、これを同時に解決する方法を政府は発明したのだ。

日中冷戦が深刻化する中、日本政府は突如として北朝鮮に対する従前の方針を放棄し、北朝鮮の立場に理解を示す発言を行い、金融と食料、エネルギーを含む広範囲の支援を約束した。これには日本による緊急エネルギー支援の約束が大きな力となったとみられる。2017年には歴史的な日朝平和条約が締結されたが、この時に秘密条約が締結されたとみる筋は多い。金正日死去後の混乱状況で北朝鮮の指導体制は日本の強圧を受け入れざるを得なかったとみられる。

2019年4月、北朝鮮は大型固体燃料タイプの新型弾道ミサイルの試射を行なった。試射は北朝鮮平安北道の鳳東里射場からほぼ真南に向けて行なわれ、黄海上を飛翔して南シナ海の、中国本土と沖縄本島のほぼ中間地点の公海上に着弾した。この試射に対し、日本を含む周辺諸国は抗議したが、直後に既に、弾道ミサイルが日本製ではないかという疑いが持ち上がっている。その後9月にも同様の試射が行なわれ、これは南硫黄島沖に着弾したが、これは二号早期警戒衛星の打ち上げ三ヵ月後というタイミングだったことを特記したい。

最初の日本製核弾頭が北朝鮮に搬入されたのは2020年4月だという説と6月という説がある。複数の、恐らく複数種類の再突入対応爆縮型弾頭が北朝鮮に搬入された。2020年11月、咸鏡北道の地下核試験場と目される地点を中心にして、複数の15キロトン級の核爆発と見られる振動が確認されている。2021年11月には5キロトン級の核爆発が観測されている。

2023年4月、350キロトン級の、恐らく熱核爆弾の爆発と見られる振動が観測され、日本が北朝鮮を核実験場として使用しているという憶測はほぼ裏付けられる事となった。

この核弾頭搭載弾道ミサイルは"弾道ミサイル模擬ターゲット"(BMD-TEST-T)と呼ばれるモバイルランチャー一式という形で、およそ20機が秘密裏に北朝鮮各地に展開しているものと思われている。これらは建前上全て”北朝鮮の核”であり、日本が配備、保有しているものではない。しかし、北朝鮮のIAEA査察拒否に関して、日本も非難された事に抗議して、2029年4月、日本は国連を脱退し、以後戦争への道をひた走る事となる。


-------------------------------------------------------------------

毎年のことですが上記、嘘です。日付参照のこと。

外にも”キューバの宇宙開発史(キューバ危機の際に撤去されなかったR-12ミサイルが)”とか考えていたのですが、うーん。来年は自分で笑えるネタにします。



MirのMSX -2008年9月2日(火)22時22分


旧ソ連/ロシアの宇宙ステーション・ミール(Мир)で8ビットマイコンMSXが使用されたという話が、時々思い出したかのように話題になることがある。そこではまず事実関係が明確にされることも無く、酷い場合には、ミールはMSXで動かされていた等という与太が展開される事になる。


ミールは各モジュール毎に旧ソ連/ロシア製の宇宙用コンピュータを複数台搭載していた。コアモジュールはSalyut-5Bというウクライナ製の主制御コンピュータと、ロシア製Argon-16コンピュータを副制御コンピュータとして、以下に姿勢制御用のTsVM-1、各モジュールのMorion等がぶら下がる構成だった。

MSXの存在はドキュメント等からは確認することは出来ない。「宇宙の傑作機」を書く際に探したのだが、NASAの資料にも、MIRNEWSのアーカイブにもそれらしき記述は無かった。しかし複数の映像が残っており、MSXが確かにミールに積まれていた事を疑う余地は無い。では一体いつ、何のために使用されたのだろうか。


搭載されていたのは、Sony製MSX2、HB-G900である。この機種はSony MSX2 HB-F900のプロユース版で、ビデオタイザ G900APというボックスが付属する。このボックスは画像処理用で、取り込んだPALビデオ信号にCGをスーパーインポーズできる。発売は1987年であり、ミールの運用初期には間に合わない。また、1990年12月のTBS特派員、秋山豊寛のミール訪問時には、そこにHB-G900は見掛けることは無かった。

HB-G900がミールで見出されるのは1993年前期からで、コアモジュールのコンソール上という一等地を占めていた。本体とビデオタイザは白い補強材で一体に加工してあるが、特徴あるフロントパネルはそのままで、だから2基のFDDスロットもROMカートリッジスロットもそのままだ。残念ながらモニタの機種は不明で、ソニー推奨の組み合わせでは無い。いかにも80年代風のモニタは色の加減から2種類あるようにも見えるが、照明の加減でそう見えるだけで恐らく一種一台のみであろう。

その後、HB-G900は少なくとも1997年まではその位置に存在したことが判っている。

また、コアモジュール奥の壁際の位置に配置されていた時期もあることが判っている。シャトル-ミールミッション中は一貫してコンソール上にあったから、それ以前か以降か、以前だとしたら、同時に映っている宇宙飛行士の船内服にエネルギヤ社のパッチがあるから、これはソ連崩壊後である。

Mirの廃棄以前の2000年頃に、Mirを軽く内部空間を広くするためにHB-G900は廃棄されただろう。Mir廃棄時までHB-G900が軌道上に存在したとは考えにくい。


秋山豊寛のミール訪問時、コアモジュールのコンソール上、後にHB-G900が置かれる位置には大型のボックスとプラズマディスプレイのラップトップパソコンが置かれていた。ラップトップは恐らくソ連製である。当時ソ連でも、軍事などの非民生用途にはソ連製のIBM PC互換ラップトップ機が使用されている。少なくとも1987年製のMSX2よりは上等で信頼性もあっただろう。

後に1997年、「ドラゴンフライ」で描かれる機内状況では、そこは手動ドッキングシステムTORUのコンソールのあった場所である。TORUはソニー製モニタと臨時に取り付けられる操縦桿から構成されていたと記述にある。

これまで、少なくともこの時までにHB-G900はTORUコンソールに場所を明け渡したと思っていた。ソニー製モニタとはモニタ本体のみを指すものとばかり思っていたが、しかし実はHB-G900と一体で解釈されていたのかも知れない。もしNASAの宇宙飛行士たちに、マイナーな8bitマイコンの知識があれば、もっと様々な記録が残っていただろう。


HB-G900は1993年以前に導入された事は判った。では、何に使われたのだろうか。

1990年12月と1993年の間に何があったか、思い出せるだろうか。そう、ソ連邦崩壊である。

崩壊後ミール運営側、つまりロシアは、ウクライナ製ドッキングシステムの入手性に深刻な問題を抱えることになった。自動ドッキングシステムKursは、ウクライナのユージュノエ設計局とキエフラジオ工場製で、そしてソユーズ/プログレス宇宙船1機につき一台必要な、使い捨て品だった。つまりウクライナがKursを売ってくれなければ、ドッキングは手持ちの台数分で終わりという事だ。

TORUの開発の経緯にはこういう状況があったのだが、もしかすると、TORU以前に即席のドッキング補助システムがあったとしたらどうだろうか。

そして、ロシアは更にドッキングに問題を抱える事になる。アメリカのスペースシャトルとのドッキングミッションだ。このドッキングは旧ソ連の拡張計画の範疇外の代物であり、そして当時のロシアは経済的困窮のどん底にあったわけだが、それでもミール側にもモニタは必要だっただろう。1993年にHB-G900と共に写真に写った宇宙飛行士パリッシュ(А.Ф.Полещук)は、Soyuz-TM16に乗ってやって来たが、これはAPAS-89両性具有ドッキング装置を用いてドッキングした。APAS-89はシャトルとのドッキングにも用いられ、すなわちSoyuz-TM16ドッキングはシャトルドッキングのリハーサルを兼ねていた訳だが、HB-G900はこれ以前に用意されている必要があっただろう。


HB-G900のスペックからして、それがドッキング補助システムの一部であった事は間違いないものと思われる。考えるに主コンソールの上という位置は、ドッキング補助システムの指定席ではなかったかという推測ができると思う。

ロシアは急いで安価にドッキング補助システムをでっち上げる必要があった訳だが、HB-G900はそういう用途にうってつけの機械である。ミールのコンピュータシステムからドッキングに必要なパラメータを受け取り、ドッキングモニタのビデオ画像に数値などをスーパーインポーズする、ただそのためだけにHB-G900は存在したのではないだろうか。

実際の使用のためには多少の改造は必要である。例えばHB-G900はAC-DC変換器を内蔵して、AC電源をコンセントから取る仕様だった。しかし当然だがミールにはAC100Vコンセントは無い。電源モジュールを取り除き、代わりにDC-DCコンバータを加える必要があっただろう。

宇宙用という点では、幸いにもHB-G900はCMOS ICをほとんど含まないコンピュータで、SEUの心配をしないで済む。

HB-G900が、Kursの補助か、シャトルドッキングの補助か、もしくはそのその双方を兼ねていたかは不明である。そしてその終わりもまた、不明のままである。


HB-G900スペック

http://www.old-computers.com/museum/computer.asp?st=1&c=790


ロシア最初の民生ラップトップ機。J-3100クローンらしい。

http://englishrussia.com/?p=207


2000年ハノーバで展示されていたTORUの展示。これはISS用か。

http://www.hightechscience.org/toru.htm


ISSのTORU

http://da.wikipedia.org/wiki/Billede:TORU_docking_system.jpg


ここのビデオだと、HB-G900がコアモジュールのコンソール上にあるのが分かる。

http://msx.gnu-linux.net/msx-in-space/


上記のビデオが1994年のものであるとしている。

http://www.mail-archive.com/msx@stack.nl/msg01758.html


こちらは更にはっきりと、組み合わされたモニタ共々判る。FDDスロットにフロッピーらしきものが挿入されている。パリッシュがMirに居たのは1993年1月から7月までである。

http://www.ours.ch/cosmicdancer.php


IMAXムービー"Mission to MIR"の、ルシッドの滞在中と見られる風景の中に、HB-G900の裏側と思しきものが映っている。

http://www.amazon.co.jp/Mission-Mir-Ivan-Galin/dp/B00005MEPG/ref=sr_1_1?ie=UTF8&s=dvd


時期不明。HB-G900の位置は、コンソールを正面に見て左手の壁側である。

http://www.netfort.gr.jp/~saka/ActiveMSX/cg/mir/mir1.jpg


時期不明。HB-G900の位置は上と同じ。1997年時にはここは綺麗に片付いている。天井の小型モニタが1つしかないのに注目。

http://www.netfort.gr.jp/~saka/ActiveMSX/cg/mir/mir2.jpg


1995年3月のコアモジュールコンソール

http://spaceflight.nasa.gov/history/shuttle-mir/multimedia/photos/sts-74/74p-026-low.jpg


1996年9月のコアモジュールコンソール

http://spaceflight.nasa.gov/history/shuttle-mir/multimedia/photos/sts-79/79p-038-low.jpg


1997年5月のコアモジュールコンソール。

http://spaceflight.nasa.gov/history/shuttle-mir/multimedia/photos/sts-84/84p-008-low.jpg


時期は上に同じ。室内状況が判りやすい。HB-G900らしきものが奥にある。

http://spaceflight.nasa.gov/history/shuttle-mir/multimedia/photos/sts-84/84p-025-low.jpg


1997年9月、ウルフ滞在中のコアモジュールコンソール。

http://spaceflight.nasa.gov/history/shuttle-mir/multimedia/photos/wolf/n6p-008-low.jpg


2000年春のコアモジュールコンソール。HB-G900はこの時点で存在しなくなっている。

http://www.qsl.net/dg7ro/afu/img/m_sstv46.jpg

この時期になるとSSTV画像が多く出回っていて、色々とチェックしたのだが、HB-G900らしい存在はどこにも確認できなかった。



中国の宇宙開発史#10 -2008年4月10日(木)02時01分


小型の固体推進剤打ち上げ機开拓1型は、東風31型ICBMを転用したものである。50kgのペイロードを極軌道投入可能で、2002年と2003年にそれぞれ一回づつ打ち上げに使用されたが、双方とも上段の不具合で失敗している。以降打ち上げは無いが、能力増強型とあわせて商業打ち上げの売り込みを現在も行なっている。

中国の大型固体ロケットは、1967年の潜水艦打ち上げ弾道ミサイル巨浪1型の開発開始にまで遡ることができる。文革のさなかで目標はいきなり固体で水中打ち上げである。最初の打ち上げは1982年までずれ込んだ。実質的には開発の本格開始は長征1号三段目の開発が一段落した後だと思われる。陸上打ち上げの固体弾道ミサイル東風21型は、これを元にしたもので、1985年に最初の打ち上げを行なった。ただ巨浪1型そのものは1981年に地上からの打ち上げ試験を行なっている。

東風31型もやはりSLBM巨浪2型の陸上打ち上げ型である。これら固体ロケットのSRBとしての使用は、現在のところ考慮されていないようだ。


長征五号は、民生宇宙打ち上げに新規開発される中国最初の打ち上げ機である。打ち上げ能力は低軌道に最大40トン、GTOに10トンと桁違いのものとなる。当初2008年に最初の打ち上げを予定していたが、予定は2012年、更に最新のものでは2014年へと順調に後退している。

長征五号は液酸ケロシンのLRBを実質一段目とし、5メートル径の液酸液水コアステージと、二段目(実質三段目)の17.6トン推力再着火可能液酸液水ステージから構成される。LRB用の120トン推力エンジンYF-100は既に600秒の連続燃焼試験に成功している。LRBはこのFY-100を1つ使用する2.25m径のものと、2つを束ねた3.35m径の二種類があり、ペイロードによって組み合わせを変える。また二段目を使用しない組み合わせもある。

コアステージの液酸液水エンジンYF-77の推力は50トンで、難しい規模のものではない。開発の遅れはやはりYF-100エンジンに原因があるのだろう。2007年9月の時点で燃焼試験の累計燃焼時間は13500秒に達している。

実機ではLRBを最大4本束ねて、コアステージも最大2基クラスタ化して、全体で離床推力は最大1000トンオーバーとなる。将来的には更に高推力の液酸ケロシンエンジンの開発によって有人月ミッションにも対応可能な伸び代を残している。

中国ではこの打ち上げ機を環境に優しい"緑色"ロケットと呼んでいる。

この打ち上げは、新規に建設される海南省文昌の射点のみを使用して行なわれる。文昌は中国で最も南に位置し、一段目落下点は公海上となり、更に台風の影響も少ない。文昌へのロケットの輸送は海路を用いることとなる。

更に中国は、2.25m径LRBを一段目に、既開発の15トン液酸液水再着火エンジンを二段目に組み合わせた小型打ち上げ機を開発計画に乗せている。この打ち上げ機は高度700キロの極軌道に500キログラムの衛星を投入可能である。中国は小型衛星の打ち上げ需要を年20機から30機と見込んでいて、これも商業打ち上げ機のラインナップに現れるだろう。


最初の有人打ち上げを半年後に控えた2003年3月、月探査計画"嫦娥工程"の詳細は承認された。予算は200億円だった。月探査計画そのものは863研制のなかでも謳われていたが、具体的な議論が始まったのは1997年になってから、検討に入ったのは2001年半ばのことだったらしい。

月探査機嫦娥一号は東方紅三号をベースに、自律航行システムを大幅に強化し、観測機材を搭載したものである。つまるところ深宇宙探査用に新規設計された機体では無い。外見的には短いブームの先のハイゲインアンテナの追加が目立つ。搭載コンピュータには西安微電子研究所(771所)製の三重多数決化されたものが使用された。PFMは2005年に完成し、試験ののち、2007年10月24日、西昌射点から長征三号Aによって打ち上げられた。その後新規に整備された地上局網で軌道を精密に割り出し、11月1日に月への遷移軌道に乗った。11月には月周回軌道に入り、観測を開始したが、最近、2008年6月には全ての観測を終えるとの発表があった。

但し、運用は必ずしも順調なものでは無いらしい。打ち上げ時にフェアリングが早期分離したのではないかという説がある。11月半ばには探査機とのコンタクトが途絶えたという噂が飛んだ。嫦娥一号が最初に取得した月面写真はクレメンタイン探査機の取得写真のコピーだという疑惑が持たれた。中国は昔、資源探査衛星の取得画像として公開したものが実はアメリカの公開衛星写真そのものだったという事があり、疑われるのは仕方が無い。また、搭載探査装置に対応した成果がほとんど公表されておらず、運用状況も11月末以来ほとんど出てこなくなった(一月末にはレーザ高度計の成果が一応出ている)。中国国内ではセレーネとの比較で、嫦娥一号の搭載探査装置の性能が低い事が問題とされた。

そのような中でも、嫦娥二号の打ち上げは2009年に予定され、第二期月探査計画も承認された。第二期では2013年までに月軟着陸を目指す。まだ承認されていない第三期工程ではサンプルリターンが予定されている。また、火星探査機荧火一号も2009年に打ち上げられる。


他の中国の宇宙計画では、航法衛星北斗シリーズがGPSとの関連で論じられる事が多い。北斗システムは位置決定制度は10mとされている。衛星そのものは大型のスピン衛星である。

神舟以外の有人システムについては、20トン級の小型の空間站つまり宇宙ステーションを当初打ち上げる予定だったようだが、宇宙ステーションに関する話題は最近現れていない。


中国の宇宙開発は、古く多彩な歴史と豊富な実績、広範囲に進行している計画を持っている。日本の宇宙開発との比較は興味深い主題だが、ここでは論じない。

中国の宇宙開発には評価すべき点が多いが、同時に問題点もある。最大の問題点は情報公開のポリシーである。中国の宇宙開発に関する情報は実に多様な公開がされている。情報量だけなら日本よりも多いが、都合が悪い事が起きると、情報は完全にシャットアウトされてしまう。中国は情報公開というものを完全に誤解しており、こういったポリシーで問題無いと考えているフシがあるが、情報を隠せばそれが何であれ、隠したという事実ゆえに外部から信用されなくなる。

また中国の内部でも、不具合情報という最高の知識がほとんど共有されないために、信頼性は一定以上に向上することは難しいだろう。

海外から信用されていない中国の宇宙開発が商業打ち上げで成功していくためには、価格競争力しか武器は無い。かつてはこれが極めて優れていたが、中国の経済力向上とシステムの高度化は必然的に生産コストを押し上げることになる。今後難しい局面が待っている訳だが、ただ、中国の宇宙開発は過去にも様々な難しい局面を乗り越えてきている事を忘れるべきではないだろう。


以下に参考とした情報源を。


http://bbs.cjdby.net/archiver/?tid-443773.html

 長征五号には最初二案があったことが判る


http://war.news.163.com/07/0306/11/38T7O59U00011MTO.html

液酸ケロシンエンジンYF-100の燃焼試験風景の写真がある。


http://www.matwav.com/review/ShowArticle.asp?ArticleID=277

こちらには液酸液水エンジンのテストスタンドの写真がある。


http://mil.news.sina.com.cn/2006-01-16/0801344616.html

YF-77は2006年1月の時点で200秒の燃焼試験をパスしている。


http://www.costind.gov.cn/n435777/n896261/n896274/66368.html

YF-100エンジン。どことなくNK-33に似ている。2006年7月に600秒の燃焼試験をパスしている。


http://news.xinhuanet.com/mil/2006-10/31/content_5269392.htm

小型打ち上げ機のスペックはこちら。


http://www.sxmx.gov.cn/E_ReadNews.asp?NewsID=1358

嫦娥一号搭載機器についてはここを。


http://news.sohu.com/20071203/n253753335.shtml

火星探査機の開発は上海で行なわれているようだ。



中国の宇宙開発史#X -2008年4月1日(火)01時15分


2007年1月11日、中国は東風21号固体中距離弾道弾を用いて、極軌道気象衛星である風雲一号Cを破壊した。いわゆるASAT実験である。風雲一号Cは1999年に打ち上げられた後、2002年に打ち上げられた風雲一号Dに代替わりして現役を退いた衛星だった。

この実験は中国の軍事システムの、具体的な対外脅威の存在しない中での空母や原潜開発に見られる、いわゆる覇権主義的傾向の一環として従来見られていた。ただこれは、かなり大掛かりな軍事費を宇宙開発分野に注いでいながら、専用の偵察衛星の整備も行っていない中国の現状からすると、順番としてちぐはぐな感がするのも事実だった。

中国の偵察衛星としては、初期の長空一号、尖兵一号といったFSW系のものを除けば、近年ブラジルと共同開発したCBERS資源探査衛星の次の世代、資源二号の三機のうち資源二号Aと資源二号Bが偵察衛星として相乗り運用されたと思われている。要するに軍が運用の権限を握っている訳では無いのだ。恐らく、軍の予算の中では、偵察衛星に関する予算より、有人宇宙開発に関するものの方が大きいだろう。

このような宇宙への人民解放軍の歪んだ関与の仕方には、当然理由が存在する。


1970年代初頭、中国独自の有人宇宙飛行の取り組みの中で、技術力に劣るなかで計画を成功させるために、宇宙飛行士の身体能力の、それも超常的な能力の強化が検討された。これは西側における超能力研究と同じ文脈で捉えて良いだろう。但しこれは中国では気功だった訳である。中国における本格的な気功研究はここに原点を持っている。

この研究の成果は明らかではない。しかし宇宙開発関係者に気功研究の擁護者が多数存在する事は、例えば銭学森が在野でも気功研究を続けた事でも明らかだろう。

更に、文革の中、権力に保護された聖域である宇宙開発の現場で維持され続けた民主主義思想が気功と結合したとき、法輪功の原型が誕生したものと思われる。林彪事件の際、七机部が反革命の巣窟と目されたのには、何も全くの無根拠という訳では無かったのだ。

この原初法輪功の思想的特長として、宇宙において人類は気功によって神仙へと進化するという、いわゆる志温(Zhi Won)的思想が挙げられる。アメリカ人やロシア人が宇宙に行っても何も起こらないのは、気功の鍛錬を積んでいないからだと彼らは考えている。この隠された思想的背景を理解して初めて、中国の有人宇宙開発を理解することができるだろう。

中国の有人宇宙開発は国威発揚を目標としているのではない。中国の有人宇宙開発がロシアやヨーロッパとの共同ではなく独自路線であるのは、気功に関する成果を独占する為である。またFSW衛星の継続的な打ち上げも、気功研究の一環であると思われる。1986年に中国において有人計画が再び認可されたとき、最終目標として宇宙ステーション建設が挙げられていたのは、気功による神仙進化の実現の為なのだ。

恐らく原初法輪功は比較的早い段階で中国のテクノクラートとその子弟の間に秘密結社として浸透したものと思われる。現在表に出ている、李洪志を始祖とする法輪功の活動は、秘密結社活動とは距離を置いた、氷山の一角に過ぎないものであろう。秘密結社のコアは間違いなく共産党中枢まで届いている。例えば有人宇宙船の名前を神舟とした一例を取ってみても、それは明らかだろう。従来ならこのような宗教的色彩の有る名前が選ばれる事は無かった筈だ。神仙の乗り物即ち神舟、その名前の意味は明白である。

人民解放軍の宇宙開発に対する関与のうち、有人宇宙開発関係者は間違いなく気功秘密結社のサイドであるが、もう一つの関与である弾道ミサイル運用部隊である第二砲兵は、歴史上常に彼らと対立する関係にあったと言える。銭学森を追放し、有人宇宙開発を中止させた彼らは、現在でも有人宇宙開発と対立関係にあると思われる。

第二砲兵で忘れてはならないのが、彼らが少数民族自治区やその近辺で活動する駐屯部隊であるという点である。酒泉ではウィグル族、西昌ではイ族、海南島では黎族及び苗族(チベットにも青海鉄道の延長としてリニアモータによるカタパルト打ち上げ線が計画された事がある)に対して、漢族の覇権主義を代表する存在として君臨しているのだ。更にはスターリングレポートに見られる、いわゆるタクラマカン施設などの少数民族抑圧政策への荷担も特筆せねばなるまい。


こういう文脈を踏まえて、中国のASAT実験を眺めると、第二砲兵による法輪功攻撃という別の一面が見えてくる。

気功を通じた改善運動である法輪功は1992年、有人宇宙計画921研制の決定と時を同じくして公式にその存在を認められたが、1997年7月に邪教として当局の弾圧が始まった。

2002年6月23日、鑫诺一号(Sinosat-1)のKuバンドトランスポンダ数個が乗っ取られ、法輪功の文字が中国全土の家庭用TVに映し出された。9月9日には3つのトランスポンダが乗っ取られている。翌年8月12,13日には反法輪功番組に割り込む形で、江沢民政権を批判する放送が流された。このような放送ジャックは以降も年二回程度の頻度で継続され、Sinosatのみではなく、他の衛星でも、Cバンドトランスポンダに対しても行なわれた。最近では2007年5月と7月に行なわれている。しかしそもそも衛星をそんなに簡単に乗っ取れるものだろうか。中国の衛星は日本のそれよりオープンであったりするのだろうか。

しかしこれも、気功秘密結社の中枢が宇宙開発関係者であることを考えれば説明は容易である。そして恐らく、中国の衛星のうち、かなりの数が法輪功、そして気功秘密結社の支配下にあるものと考えられる。風雲一号Cは法輪功の衛星であり、ASAT実験は北京オリンピックを睨んだ衛星戦争の先触れだったのだ。恐らく北京オリンピックは大規模な衛星放送乗っ取りと衛星破壊という、宇宙を舞台とした情報内戦の主戦場となるだろう。


このように中国の現状は、国内の各勢力が公然と戦火を交える、極めて危険な状態にある。中国の有人宇宙開発の失速は即ち民主化勢力の失脚を意味するだろう。逆に民主化勢力の暴走は、対する第二砲兵に核があることを考えると、恐ろしい可能性も考慮に入れざるを得ない。第三天安門は最悪の事態となるだろう。

それに、もし民主化勢力が勝利し、中国の宇宙開発における究極目標が達成されたとき、これは極めて特別な特権階級を生む危険な罠となる。中国は地上と宇宙とに引き裂かれるだろう。

……いや、事態は中国だけに留まるまい。中国の新たな神仙に、世界は宇宙から支配される事となるのだ。おもに気のせいで。


-------------------------------------------------------------------

はい、毎年恒例ですが、上記でっかい嘘です。

衛星放送はアナログなら、放送局より強いアップリンクを上げてやれば簡単に乗っ取る事ができるようです。



中国の宇宙開発史#9 -2008年3月29日(土)09時51分


1986年、順調に経済力を増した中国は先端技術研究の必要を認め、863研制として国家として重点的に研究する分野を定めた。そしてその中にはスーパーコンピュータの開発等と並んで、有人宇宙開発も謳われていた。

世界ではチャレンジャーの事故があった直後であったが、レーガン政権は宇宙ステーション建設を推進しており、シャトルの輝きも決して失われた訳では無かった。要するに中国もシャトルや宇宙ステーションが欲しくなったのだ。しかしそれら目標はあまりにも遠かった。その後の中国は、小型スペースプレーンや、これと組み合わせるフライバック有翼機などの風洞実験をやる位で、本格的な開発まではしばらく足踏みがあった。

本格的な開発フェーズに入るに当たり、有人計画の進め方は議論となった。有翼再利用機の技術的困難と、目標とされた宇宙ステーションの運用を考えたとき脱出ボートとしてのカプセルが必要となるという指摘、そしてコストの問題が取り上げられた。最終的に彼らは段階的開発という当たり前の結論に達した。1988年のことである。全目標達成のゴールを2010年、最初の有人打ち上げは2000年に目標を定められた。

打ち上げ機は長征二号Eが仮定され、宇宙船重量は最大8トンと見積もられた。液酸ケロシンの新型打ち上げ機開発の提案は蹴られた。空間技術研究院(CAST)は3つのデザインを提案していた。一つは再突入モジュールをサービスモジュールと軌道活動モジュールで挟むソユーズスタイルの構成、二つ目は再突入モジュールの耐熱シールドを貫通したハッチで後ろの大型サービスモジュールと連絡するTKS/ブルージェミニ構成、最後の一つは大型の再突入モジュールと小型のサービスモジュールの構成である。运載火箭技術研究院(CALT)はまた別の考えをもっていたが、これもソユーズスタイルだった。そして上海(SAST)もソユーズスタイルだった。最終的に設計はソユーズスタイルの3モジュール、但し全てのモジュールが自律性を持つこととなった。

ロシア/旧ソ連技術の獲得の努力は1991年5月から始まった。ここで中国は莫大な技術を安価に手に入れることに成功した。中国は、当時ロシアが開発中だった(が結局キャンセルされた)ザーリャカプセルの資料さえ閲覧している。1992年には宇宙飛行士たちが訓練のために星の街を訪問するようになった。当時日本にもロシア宇宙開発技術購入の呼びかけがあったのだが、日本の宇宙開発機関ははそれを悉くスルーした。日本の民間企業にすらソ連宇宙開発の成果物が流れる状況で、中国が本気でそれらを獲得しようとすれば、どこまで可能だったかは想像に難くない。中国は少なくとも2機のソユーズ再突入カプセル実機を手に入れたと思われる。

有人宇宙船の最終設計は1992年4月に承認され、921研制となる。有人飛行の期限は二年延長され、2002年までとなった。恐らく中国はソ連式の、二回の連続無人飛行成功を有人飛行の前提条件と仮定したのだろう。

921研制は広範な課題を抱えていた。有人宇宙船の開発、打ち上げシステムの安全、宇宙飛行士の養成、新造する追跡船艦隊と着陸支援、試験設備、そして酒泉の新たな大型VAB。しかしこれらの大掛かりな動きは、1997年まで外部に漏れなかったし、確度の高い噂となるのは1999年になってからである。

命名だけが1993年に行なわれていたが、有人宇宙船の最初の熱構造試験モデル及び電気試験モデルが完成したのは1995年のことだった。それからひと通りの問題が解決したのは1997年で、そこから重量を削減し、打ち上げ機と統合したアボートタワー等の試験をこなすと、もう1999年になっていた。

 1999年11月19日、有人宇宙船試験機は酒泉射場から長征二号F打ち上げ機によって打ち上げられた。長征二号Fは長征二号Eのフェアリングをソユーズ打ち上げ機のものと取り替えたような機体だった。実際には一割がた長征二号Fのもののほうが大型である。フェアリング上部には、自律性を持ったことで重量を増した軌道上モジュールの分も補うべく、アボート用の固体モータが追加されている。

追跡船は種子島の南東沖、フィジー沖、オーストラリア西沖、そして南アフリカのナミビア沖に配置された。有人宇宙船にはダミー人形と植物が数種搭載されていた。ダミー人形は酸素を吸収し二酸化炭素と熱を放出するよう作られていた。宇宙船は20時間後、ナミビア沖上空で追跡船からのコマンドで再突入シーケンスに入った。高度10キロでパラシュートハッチが投棄される。パラシュートは巨大なもので、中国にとってこれも大きな技術的挑戦だった。高度6キロで底部のヒートシールドが投棄され、ガンマ線高度計と4つの大型固体モータが活性化する。中国は信頼できる陸地軟着陸システムをソユーズからほぼ丸ごとコピーした。但し固体モータの点火高度はソユーズと違い1mとなっている。

着陸したカプセルは密封されたまま北京へと運ばれた。江沢民総書記は祝賀式典で、有人宇宙船のカプセルから取り出された、その宇宙船の名前が書かれた紙を掲げた。


有人宇宙船"神舟"の構成要素は基本的にこの時から変わっていない。ソユーズ宇宙船との類似性に目が行くが、再突入カプセルの類似性を除けば、似ているのは姿勢制御スラスタの位置と構成程度である。神舟のスラスタ構成はソユーズのスラスタ構成の要点を理解した上で再構成されており、基本的に二重×二系統の構成となっている。

更に後端の大推力スラスターは4基構成で、並行ではなく全て別々の外側に向いた並べ方がされている。4基というのは推力の対称を保ったまま冗長性を保つことが出来る最小の構成である。どれか1基が故障しても、対称位置の1基を停止して、残りの2基で推力軸線を保って推進することが可能であろう。

軌道モジュールは自立能力を与えられており、切り離して単独で運用可能である。先端の奇妙な形状は地上観測用のアンテナアレイとバーアンテナの組み合わせらしい。大型の観測窓とエアロックの存在も確認できる。更に将来、ロシアが開発しアメリカもISSで採用したAPAS-89規格ドッキングポートを装備した型が現れると見られている。

各種センサの配置は独特である。目視地球センサVzor相当のものも搭載されているようだが、ペリスコープ状の突出部は存在せず、前方視認の機能は削られているようである。自動赤外地球センサは3つの同型のセンサが三軸直交するように組み合わされており、これが機械モジュールと軌道モジュールにそれぞれ1つづつ存在している。

神舟は4枚の太陽電池パドルを持っている。パドルは根元に回転フランジを持ち、宇宙機の姿勢制御無しに太陽方向への追尾が可能である。このパドルは東方紅三号シリーズに採用されたものと基本的に同じ物である。

再突入モジュールはソユーズの単純なコピーではない。特に直径を増した、全体として三割増の大きさであり、三人の宇宙飛行士に窮屈でない内部環境を提供することができる。注目したいのは神舟がサーマルブランケットを軌道モジュールのみにしか使用していない点である。ソユーズとは熱設計が全く違うのだ。

カプセルの断熱手法の詳細は不明である。これは明らかに、ソユーズと大幅に違う点である。但し構造はコピーで、しかも製造の資料抜きでのコピーであるため、開発と製造では珍妙なねじれがあったようだ。ほかでも例えば中国はガンマ線高度計やフェアリングの格子翼を採用する必要は必ずしも無かった筈だが、この再現にかなり苦労したらしい。

自立する軌道モジュールは、中国独自の改良と受け止められる事が多いが、これは改悪だろう。太陽電池パドルとバッテリ、自前の温度制御と姿勢制御を持つための重量増は、非常時のアボートタワーの動作マージンを確実に狭めている。


次の打ち上げはすぐだった。2000年1月9日、神舟二号は打ち上げられた。今度は6匹のネズミを始めとする動植物を積んでいた。また蛋白質結晶の成長装置なども積まれていた。この打ち上げで宇宙船は徹底した試験を受ける筈であったが、これはもはや単なる試験飛行ではなかった。神舟二号は6日間飛行して帰還したが、着陸のどこかで問題が発生したと思われる。何が起こったのか中国側は明確にしていないが、結果として、有人打ち上げまでの間に、更に一度の試験打ち上げが追加される事となった。

神舟二号の軌道モジュールはその後260日自律飛行により運用されたが、軌道低下の結果再突入して燃え尽きた。

その次の打ち上げは当初2000年8月に予定されていたと思われる。実際、7月には神舟三号は酒泉に運ばれ、射点では打ち上げ準備が始まったが、その後打ち上げ準備は中断され、宇宙船は北京に戻っていった。これらの動きは民間の商業偵察衛星により確認された。

神舟三号は2001年3月25日に打ち上げられた。今度は動物を積まず、苗木と種、ワクチン等を積むだけだった。6日後再突入モジュールは帰還し、その回収の光景は、今回ほぼ即座にインターネットを通じて公開された。軌道モジュールはその後半年軌道上で運用された。神舟四号は2002年12月末に打ち上げられた。再び様々な実験装置で満杯になった機体は六日後の翌年初めに帰還した。

神舟五号は2003年10月15日、楊利偉を乗せて打ち上げられ、軌道を一周して帰還した。神舟六号は2005年10月12日に聶海勝と費俊竜の二名を乗せて打ち上げられ、五日後に帰還した。

神舟七号は2008年中に打ち上げられ、乗員による船外宇宙活動を行なうものと思われる。この三年のブランクは今だ秘密のままである船外宇宙服に関連したものだろう。宇宙飛行士の船外活動訓練の実体も不明なままである。更に神舟八号以降にドッキング実験が予定されているのは、間違いないだろう。宇宙ステーションそのものは長征五号による打ち上げを予定しているものと思われる。



中国の宇宙開発史#8 -2008年3月16日(日)00時29分


四川省西昌は古代中国史で言うところの蜀のすぐ裏手である。山あいの地であるが人口密度は決して低くない。ここに射場が建設されたのは、低緯度でありながらロケットの一段目及び二段目が自国内に落下する位置であったからである。1970年代後半の中国の対外政策は慎重を極めたものだった。

中国の商業打ち上げは、FSWの搭載ペイロードやピギーバックを除けば、全て西昌射場から行われている。ここは純粋に民生打ち上げの射点であり、外国人にも公開された観光地となっている。


1992年3月22日、西昌射点でオーストラリアの通信衛星Optus-B1を打ち上げる筈だった長征二号Eは一段目エンジンに点火後、推力が出ず離床に失敗、まるでコンロの上で赤黒い炎で料理されているような、恐ろしい状況となった。

この危機的状況は現場の保安係員たちによって食い止められたが、彼らは燃焼不良のヒドラジンと四酸化二窒素の煙の中に酸素マスクも防護服も無しで飛び込んだ為に、全員が吐血して病院送りとなった。幸いにもこの時は死傷者は出なかったようである。

衛星は8月に改めて打ち上げられ、これは成功したのだが、12月の通信衛星Optus-B2打ち上げは失敗に終わった。衛星は打ち上げ後およそ一分あたりの時点で、フェアリングの中で粉々に砕け散っていたのだ。軌道に乗ったのは衛星の破片だった。

失敗の原因に関して、中国と衛星の製造元であるアメリカは責任をなすり付け合い、終いには失敗の原因はロケットでも衛星でも無かったという共同声明を発表するという茶番で締めたが、無論これは肝心のOptus社にとっては何の慰めにもならなかった。


1994年7月の長征三号による香港のApstar-1衛星打ち上げ、8月の長征二号EによるOptus-B3打ち上げは成功だったが、1995年1月26日の長征二号EによるApstar-2衛星の打ち上げは失敗し、大惨事となった。

打ち上げはTVで中継されており、近隣の住人は屋外に椅子を出して見物していた。しかしロケットは離床後51秒で爆発し、近隣に有害な推進剤を撒き散らしたのだ。このときの犠牲者は公式には死者6名、負傷者23人である。しかし死者は最低でも20人以上出ただろうと思われている。公式の犠牲者数が信用されていないのは、更に次の大惨事の公式発表が全く信用されていないからである。

この爆発はエンジンではなく、ロケットの最上部で始まったように見えたため、中国とアメリカの非難合戦が再開された。現在でも中国では公の場以外では、この失敗と1992年の失敗はアメリカの衛星が原因だという見方を示すことが多い。ただ言えるのは長征二号Eのフェアリングはあまり衛星を保護していないという事である。

双方の非難合戦の間も打ち上げは続いた。1995年11月、12月の長征二号Eの打ち上げは成功だった。これが最後の長征2号Eの打ち上げとなる。但し、1994年4月に西昌では、恐らく長征三号による国産気象静止衛星風雲二号の打ち上げ作業中に、一人が死に31人が負傷する事故を起こしている。


1996年2月14日、長征三号Bによるインテルサット708の打ち上げは失敗し、更に大規模な惨事となった。この打ち上げ失敗は1960年旧ソ連においてR-16試射作業中の爆発により122人が死んだ、いわゆるネデーリン大惨事に匹敵する、恐らくはそれ以上の惨事であったと考えられている。

長征三号Bは、長征三号から更に改良を加えられた三段目エンジンYF-75を持つ長征三号Aから、さらに三段目タンクを大型化した機体で、これが最初の打ち上げだった。この頃にはクリントン政権下のアメリカは中国に対し規制を緩め、衛星打ち上げ枠及び価格下限を緩和すると共に、輸出許可の管轄を国務省から商務省に移していた。中国はこの打ち上げを七千万ドルで請け負っていたが、これは中国にとっても余裕のある額ではなかった。少なくとも、新型機の試験打ち上げの余裕が無い程度には。

長征三号Bは離床直後、タワーをクリアする前から明らかに姿勢を傾斜させ、TV中継される中、近隣の山あいのどこかに墜落した。中継はすぐに中断され、現場にいた外国人は5時間足止めされた。彼らが次の朝目撃した集落破壊の惨状は、中国の公式発表の死者6人、負傷者57名という数字と明らかに食い違うものだった。


このバレンタインの大惨事は、アメリカの宇宙開発ロビーに新たな火種を与えた。衛星開発元だったロラール社がアメリカ国防関係者の関与無しに中国に事故原因に関しての助言を行ったことが問題とされ、更には外国人が現場に足止めされた5時間の間に衛星の残骸が漁られ、機密技術が漏洩したのではないかという疑いがかけられた。

1998年、アメリカ議会は中国への技術漏洩に関する特別委員会を設置した。その調査は小規模な赤狩りじみたものとなった。1995年の打ち上げ失敗の際にロラール社がフェアリング改良に関する情報を与えたのが問題とされた。またロラール社は民主党への献金により衛星輸出の便宜を期待したとして共和党の攻撃対象となった。ヒューズ社は機密漏えいの嫌疑により国防省に告訴され、中国の打ち上げ機による2機のヒューズ社製の衛星打ち上げがキャンセルされた。

特別委員会の最終成果である、いわゆるコックスレポートは、中国による大規模な組織的スパイ活動が行われ、あらゆる先端技術が不正な方法で中国に持ち去られていたと結論していた。しかしその扇情的な内容には基本的な数字の間違いなどが散見されたため、その信頼性は疑問視され、結局まともに取り合われることもなく騒動は終息した。


中国製打ち上げ機の信用は完全に地に墜ち、顧客たちは予約をキャンセルしてプロトンやアリアンに移った。が、中国は外交と政治的影響力を駆使して、打ち上げを維持しようとした。香港などの中国系アジア企業の衛星打ち上げを確保するのに成功し、7月にはApstar-1Aを打ち上げている。しかし8月には長征三号は三段目不具合によりアメリカから購入した衛星を静止軌道に投入するのに失敗した。

平行して中国は信頼性問題に対して抜本的な努力を始めた。大規模な更迭人事が行われ、ISO9000のサポートキャンペーンが始まった。機器改良と平行して海外の、主にヨーロッパのコンサルタントを招いて品質管理システムが構築された。

1997年5月に長征三号A、6月に長征三号で国産衛星の打ち上げを成功させ、8月に長征三号Bはフィリピンのアグリア2衛星の打ち上げに成功し、中国は商業打ち上げに復帰した。以来中国の打ち上げ機は極めて高い打ち上げ成功率を誇っている。


コックスレポートはここにほぼ全文が公開されている。

 http://www.house.gov/coxreport/cont/gncont.html

「これこれこういうタイミングでスパイ活動が行われた可能性はゼロではない。ホラココでも!ココでも!そして漏洩の結果はこんなひどい事になる可能性が(ゼロでは無い)!」というような、陰謀論の手本みたいな内容である。



中国の宇宙開発史#7 -2008年3月13日(木)04時08分


中国においても、通信、気象、地上観測などの実用衛星の必要性は認識されてはいたが、実際に予算が付くのは東方紅一号打ち上げの後だった。それも林彪事件によって打ち切られることになる。それらに予算を付けたのは林彪だったのだ。

1975年4月に毛沢東は個人的に通信衛星開発の計画に許諾を与え、これによりようやく通信衛星開発はスタートした。通信衛星の実現方法として検討の結果、静止衛星の使用が決定され、そのために新たな高性能上段エンジンを持つロケットが必要とされた。

中国の液酸液水エンジンの開発着手は1965年と、日本の1971年と比べてもかなり早い時期である。日本最初の7トン級液酸液水エンジンの燃焼試験が1980年、中国は最初の燃焼試験を1971年に行なっている。1978年には爆発事故で死傷者を出したようだ。

液酸液水4燃焼室のYF-73エンジンは4.5トン級の再着火可能エンジンで、1979年には燃焼試験を開始し、1983年に試験を終了した。このエンジンは静止衛星用打ち上げ機、長征三号の三段目に使用された。更に静止軌道投入のために固体アポジキックモーターが新たに開発された。

1977年、ケ小平指導下において、通信衛星の実現は優先事業と位置付けられたが、1978年に入って宇宙開発予算は大幅に削減された。

この時、軍事費はGNPの12%というこれまでの水準から一気に5%まで引き下げられ、100万人の兵員削減が行なわれた。宇宙開発予算はGNPの0.035%まで削減された。この時の中国のGNPは3624億元、大体宇宙開発予算は1億ドル程度という事になる。ちなみに現在の中国の宇宙開発予算は20億ドル前後とみられ、これに更に倍近い軍事費からの予算が投入されて不透明なものとなっている。中国の経済規模がおよそ30倍となったことを考えればおよそ適正な成長率と言えるだろう。

一方で新たに気象衛星の開発も承認された。気象衛星は極軌道打ち上げのために新たな射場を必要としていた。また静止衛星も低緯度に射場を必要としていた。FSWの打ち上げは中断され、風暴ロケットの搭載ペイロードもキャンセルされ、太原と西昌の射場建設が始まった。

中国の宇宙開発のペースは遅いものにならざるを得ず、一方で中国は急速な経済成長期に入っていた。改革開放路線は中国の民生宇宙開発を根底から変質させた。


まず優先されたのは通信衛星である。最初の電気試験モデルは1979年に完成したが、様々な深刻な問題を抱えている事が明らかになった。この時期中国はアメリカに衛星技術の購入交渉を行なっているが、この行方は定かではない。新しい環境試験設備が作られ、最終的なフライトモデルが試験をパスしたのは1983年春のことだった。

1984年1月、長征三号によって中国最初の国産静止通信衛星は打ち上げられた。長征三号は長征二号に液酸液水三段目とアポジキックモーターを搭載した、静止軌道打ち上げ用の機体で、いわるる長二パッケージのバリエーションの一つである。

この打ち上げは、三段目燃焼がわずか3秒しか行なわれなかったことによって失敗したが、衛星はアポジモーターで長楕円軌道に投入され、各種運用試験が行なわれた。

4月の打ち上げは成功し、441kgのスピン衛星、東方紅二号は運用に入った。Cバンドトランスポンダを2つ持つだけの衛星だったが、この衛星は4年の寿命を全うした。

次の東方紅二号甲はトランスポンダを倍の4つ搭載し、5チャンネルのTV中継、3000チャンネルの電話中継能力を持っていた。東方紅二号甲は1988年3月、12月、1990年2月にそれぞれ打ち上げられ、中国全土をカバーするサービスを開始した。4機目が1991年12月に打ち上げられたが、三段目の失敗で静止運用できなかったため、アメリカから寿命切れ直前の中古の衛星を購入し、静止位置を移動して使用した。

この時期から中国の通信衛星需要は爆発的に増大し始め、このため外国衛星の回線を買って使用するようになった。現在の中国の衛星通信需要のほとんどを賄っているのがこのレンタル回線と購入衛星である。

三軸衛星となった第三世代の東方紅三号の開発には、メッサーシュミット・ベルコウ・ブローム社の協力があった。同社は太陽電池パドルとハイゲインアンテナ等を担当したが、この技術移転は大きな影響をもたらした。東方紅三号に使用された太陽電池パドルは以降の中国国産衛星の標準的なパドルとなり、似たものが神舟にも採用されている。

東方紅三号は2.2トン、24個のトランスポンダを持ち、マルチビームで中国全土に6チャンネルのTV中継放送をおこなう能力を持っていた。ただ1994年、この衛星の打ち上げは静止軌道への投入に失敗し、その運用は技術検証に留まった。二機目の東方紅三号の開発から、ダイムラー・ベンツの技術協力が行なわれるようになっている。この機体は1997年に打ち上げに成功した。東方紅三号はその後量産衛星のバスとして使用されている。

東方紅四号は5.1トンの重量で、最大52個のトランスポンダを搭載する。アルカテル社の技術協力もあり、設計衛星寿命は最長15年にも及ぶ。この衛星により、中国は米国製部品を使わず国際級の通信衛星を商業的に製造、供給できる能力を証明した。

東方紅四号は2006年10月に最初の衛星が打ち上げられ、二機目も2007年5月に打ち上げられた。中国は自国で使用している通信衛星を全て国産衛星で置き換える計画を持っており、それが済み次第、国際衛星市場に中国製衛星が現れるものと思われる。

直接TV放送衛星鑫诺二号(Sinosat-2)は東方紅三号ベースの衛星で、22個のトランスポンダと最長15年の設計衛星寿命を持つが、2006年10月の最初の打ち上げでは、パドルとアンテナの展開に失敗した。後継の鑫诺三号(Sinosat-3)は2007年6月に打ち上げられている。


中国最初の気象衛星風雲一号は上海で開発され、1988年9月に太原から打ち上げられた。打ち上げ機は上海で開発された長征四号である。長征四号は長征二号をベースに独自の三段目を追加したもので、軌道投入能力は低いが、ヒドラジン系のYF-40エンジンと共通隔壁タンクの三段目の信頼性は高い。打ち上げは太原からの極軌道打ち上げに限られ、ペイロードも国産衛星に限られている。初期型の長征四号甲はその後1990年に風雲一号の二機目を打ち上げて運用を終了した。この二度目の打ち上げで三段目は、役目を終えたひと月後、軌道上で爆発しデブリを極軌道に撒き散らした。風雲一号の初期の二機は放射線障害に悩まされたが、モーメンタムホィールを含む多くの技術的挑戦を搭載していた。

改良型の長征四号乙は1999年より打ち上げサービスを開始し、現在も使用されている。

静止衛星である風雲二号は、最初の機体が1997年に打ち上げられた。風雲一号と二号は相互補完的な関係にある。以降このシリーズはおよそ4年おきに定期的に世代交代している。


1984年の静止衛星打ち上げ成功を受けて、中国は国際衛星打ち上げサービスへの参入を決めた。中国の宇宙開発はもはや、実用的であるだけでは不十分だった。慢性的な予算不足の中で宇宙開発が生き残るためには、外貨を獲得するしかなかったのだ。

1985年にその決定は正式発表され、日本の筑波科学万博に長征三号の模型を出展し、打ち上げサービスをアピールした。

1986年、チャレンジャーの事故の後、世界の打ち上げ事情は激変し、中国の打ち上げサービスも真剣な考慮の対象となった。最初の中国の宇宙システムの外国の利用は、FSWの使用だったが、1989年、アメリカは1994年までに9機の衛星を中国が打ち上げる事を認可した。この打ち上げ認可という偉そうな仕組みは、アメリカが世界の通信衛星の殆どを製造し、ほぼ全ての衛星にアメリカ製部品が使用されていることから可能になっていた。それでもまだ、中国で衛星を打ち上げるにはアメリカの輸出許可が必要だった。更に米中間の協定で、中国の打ち上げ価格には下限が設定された。

最初の商業打ち上げは1990年4月に行なわれ、成功により中国は一億ドルの収入を得た。7月にはパキスタンの衛星を打ち上げた。

しかし、中国の商業打ち上げはやがて相次ぐ問題に見舞われることとなる。



中国の宇宙開発史#6 -2008年3月6日(木)21時13分


中国の曙光一号及びFSW機に関して、幾つか訂正と、追記を。

まず、FSWは曙光一号の原型機ではないようだ。FSWは材料等の技術試験機だったかも知れないが、まず純粋に再突入回収の実現のために開発されたものらしい。

曙光一号はアメリカのジェミニ有人宇宙船の縮小コピーというコンセプトで開発を開始したが、ほとんどその開発は進んでいなかったようだ。概略図からその構成が読み取れるが、FSWとは違い揚力再突入を意識したベル型のカプセルである。

曙光一号の機体開発が行われていなかったと考えられるのは、まずパラシュートシステムの試験がFSW用のものに留まっていること、FSW以外の再突入試験機が見られないこと、そして概略図が単なるイメージ以上のものでは無いことが挙げられる。概略図では固体モータの固定方法が曖昧だし、そもそも位置もおかしい。これでは機械モジュールを切り離した後、固体モータも別に切り離す必要が出てくるため、機体が実質三分割構造になってしまっている。

ただ、宇宙飛行士の養成と平行して宇宙服等の開発は進んでいたようだ。当時宇宙飛行士の訓練現場を取材したドキュメンタリーが作成され、放送されたことがあり、これには毛沢東語録を掲げて建物に続々入っていく人民服姿の宇宙飛行士候補たち、検査や訓練を受ける宇宙飛行士候補たち、毛沢東語録を唱和するスタッフ、R-2のRD-101とおぼしきエンジンを整備するスタッフ(これに関してはエンジンのみ隠せばあとはいくらでも撮影してよい、と銭学森が言ったという話があり、明らかに時代遅れのエンジンを撮影しているのはこの反映であると思われる)、そして開放された真空チャンバ内の宇宙服姿が見られる。


更に、FSW機の源流には、旧ソ連のあまり知られていない再突入帰還機MP-1(МП-1 )が存在する可能性がある。

MP-1はチェロメイのOKB-52が構想開発していたロケットプレーン(Ракетоплан)の技術試験機である。

チェロメイは、コスモプレーンという妄想のような機体を1959年頃に構想している。原子力エンジンを用い水平離陸し、電気推進で惑星間を飛行して、コーン型の耐熱シールドを使って水平帰還する機体である。その後これからある程度現実的なラケートプレーン構想が派生した。通常の化学ロケットで打ち上げられる有人機で、鋭角なコーン型の耐熱シールドの後端が傘のように開く機構を採用し、再突入の熱的に一番厳しい領域を過ぎた後でシールドを廃棄し、中の有翼機で水平着陸するというものだ。これは明らかにコロリョフのカプセル有人機への対抗であるが、コロリョフは同様のコンセプトを既に飛ばして試した上で放棄していることを指摘したい。

MP-1はこのような鈍頭円錐形状の再突入回収体で、重量1750kg、黒鉛ベースの耐熱材を用い、窒素ガスのコールドガスジェットで能動姿勢制御をおこない、パラシュートで回収可能だった。これは再突入帰還機の耐熱材料等の試験のために、1961年11月27日にプレセックからR-12を用いて高度405kmまで打ち上げられ、弾道飛行をして帰還した。使用された材料技術は、ラムジェット巡航ミサイル開発で得られたものをベースとしていた。

MP-1のスペックは、知られているFSW-0機に極めて似ている。どちらも鈍頭円錐形状の再突入回収体で、重量も誤差範囲の近似、材料も似ている。MP-1の開発時期は中ソ蜜月期に重なっており、R-12の技術資料と一緒に中国にもたらされた可能性がある。その経路には(考えにくいが)ソ連側からの資料提供から留学生によるスパイまで、様々な可能性が考えられる。


OKB-52はその後更にM-12という機体を1963年に打ち上げたが、これは再突入時に熱防護の問題から破壊、再突入に失敗した。

その後OKB-52はR-1,R-2という再突入宇宙機を設計するが、フルシチョフの失脚によってその活動を大きく減じることとなり、ロケットプレーンの開発も終焉した。


FSW機は2008年3月現在までに25機が打ち上げられ、22機が無事帰還した。2機が打ち上げに失敗し、1機が再突入逆噴射に失敗した。FSW機には4バージョンあり、1987年まで9機が打ち上げられたナンバー無しのいわゆるFSW-0、1987年から1993年まで5機が打ち上げられたFSW-1、1992年から3機もしくは4機打ち上げられたFSW-2、しばらくの中断を経て2003年から打ち上げが始まり、6機が打ち上げられたFSW-3というシリーズに分類される。

FSWの用途は様々である。軍事用が最も多いが、無重量実験、生物実験用途にも多く使用されている。最も多い軍事用途でも、初期のFSW-0は写真偵察を意図してたものと思われるが、FSW-0でも後期利用においては地上観測や、外国にペイロードを売ったりもしている。その後は使用目的はバラバラだ。軍事用途でも、最大の利用目的は技術実証だと推測されている。FSWの打ち上げは一貫して酒泉で行われている。

FSWの飛行可能時間はバッテリー容量によって制約を受けていたと見られる。FSW-0シリーズでは最大5日間だった飛行時間はFSW-1では10日間に伸び、FSW-2では最大18日にまで、FSW-3では現在のところ49日間が最大飛行時間である。

FSW-0の最後の機体は1987年にフランス企業の微小重力生命実験に利用された。FSW-1は180キロのペイロードを搭載可能で、ドイツの結晶成長実験を搭載した。FSW-2では早稲田大学の結晶成長実験装置を搭載している。

継続的にFSWの開発と使用が継続しているところを見ると、中国は有る程度高い評価を帰還回収機に与えているようだ。


FSW-0は1978年の三号機の打ち上げの後、4年間打ち上げを中断した。次の打ち上げは1982年で、この4年の間に中国の宇宙開発は大きく体制と性質を変えることになる。


今回以下の情報源等を参考にした。


Declassified: How China sent first man into space (Part 1/5)

http://jp.youtube.com/watch?v=R25_6P2UTwY

この中ほどに当時のフィルムが紹介されている。


Shenzhou History

http://www.geocities.com/CapeCanaveral/launchpad/1921/story-7.htm



中国の宇宙開発史#5 -2008年3月1日(土)00時31分


現在の中国の宇宙開発の主力打ち上げ機、長征二号及び三号は、大陸間弾道弾東風五号を宇宙用にモディファイしたもの、なのだが、そうとも言い切れない部分がある。

東風五号は1964年にその開発が始まった訳だが、同時に開発開始した東風三号、四号より更にレベルの高い技術が要求されており、1969年に東風四号が初飛行に漕ぎ着けてからが実質的な東風五号の開発開始時期だったと思われる。

エンジン開発は少しだけ先行していた。YF-20エンジンは1969年には北京郊外の燃焼試験設備で動作に漕ぎ着けていたが、やはり燃焼振動の問題に悩まされた。東風五号の一段目に使用されたのは、このフライト型であるYF-21である。YF-21は四酸化二窒素とUDMHを使用し、推力300トン比推力286秒の4燃焼室エンジンである。この燃焼室はそれぞれがジンバリングすることができた。二段目のYF-22はこれの単一燃焼室版である。二段目には姿勢制御用に小さなYF-24スラスターが付属した。

1971年9月、最初の東風五号の試験打ち上げが行なわれ、これは部分的に成功だったと伝えられている。しかし東風五号が最初に完全な試験を行なったのは1980年5月と、大幅に遅れることになる。


1970年1月、上海で有人機打ち上げ用の大型打ち上げ機"風暴"を開発するという701研制が始まった。これは毛沢東の上海びいきのためと思われ、これまでの北京での開発成果を用いたこの機種が実質、長征二号及び東風五号の原型機となった。風暴一号には様々な新規技術が取り入れられた。姿勢制御はディジタルコンピュータによって行なわれ、構造材はアルミニウム合金の溶接構造となり、タンクは推進剤そのものを蒸発させることで加圧制御された。必要な試験設備もわずか10ヶ月で揃えられ、1970年11月には最初のGTO試験が酒泉で行なわれた。

風暴一号の最初の打ち上げは1972年8月に行なわれた。これは完全な成功とは言いがたかったため、更なる改良が加えられ、搭載ペイロードも最大1.5トンから1.9トンまで増やされた。

二度目の打ち上げは1973年9月でフルスケールのものだったが、二段目姿勢制御の失敗で軌道投入に失敗した。翌年7月の打ち上げでは衛星が二段目に追突されてまた軌道投入に失敗し、以降二段目は以降長征二号用のものを転用することとなった。

風暴一号の開発は文革の干渉に常に晒されてきた。科学者は労働者階級の敵とみなされ、失敗すればサボタージュの罪状で吊し上げられた。1974年7月の打ち上げ失敗では四人組に批判されている。

1975年7月、打ち上げは遂に成功し、1.1トンの技術試験衛星"長空一号"が軌道に投入された。実用的な性能は得られなかったであろうが、長空一号は写真電送型の偵察衛星の試験機とされている。中国最初の三軸姿勢制御衛星で、各種センサを地表に向けて長期間観測する事ができた。但し、長空一号は50日で動作を停止した。

有人計画は中止された後も、風暴一号の打ち上げは続いた。12月に打ち上げられた長空二号は42日、1976年8月の長空三号は817日動作した。11月の打ち上げは失敗し、これで長空シリーズの打ち上げは終了した。


長征二号は明らかに風暴一号と同じ設計を基礎にしているが、1972年以来別々に開発が行なわれた。実際のところ、どこまで共通の設計なのかはよく判らない。初期の共通設計は北京で行なわれていたものと思われる。

最初の打ち上げは1974年11月に行なわれ、打ち上げ後わずか20秒で爆散した。テレメトリは冗長化されてない姿勢制御系ハーネスの断線を示していた。

張翼翔はこれを機に品質に関して大掛かりな調査と対策を行なった。冗長化と試験が徹底され、二度目の打ち上げが1975年11月に行なわれたが、打ち上げ後130秒で一段目エンジンはシャットダウンし、二段目は正しく動作したものの衛星は予定よりも低い軌道に投入された。近地点は高度わずか176kmだった。

投入された衛星は再突入回収型衛星"尖兵一号"だった。海外ではFSWと呼ばれる事が多いが、FSWとは返回式遥感衛星の発音を略したもので、以降この機種に特別なシリーズ名称が与えられた事は無い。またその開発目的が正式に示された事も無い。FSWは上海で開発されてきた有人機と基本的には同じ物だと思われる。"尖兵一号"は中国最初の軌道変更可能な宇宙機だった。

テレメトリは姿勢制御用のガスの圧力減を示していた。しかしこれは予定と違う軌道に入れたことによる機体温度の上昇に伴うものだと考えた地上側は、打ち上げ三日後に予定よりも早く再突入を行なう事とした。

再突入コマンドは中国領の西端から送られたが、その後地上は衛星を見失った。予定では四川に着陸する筈だったが、カプセルは貴州で発見された。食堂で昼食をとっていた四人の炭鉱夫は赤熱した塊が落ちてくるのを目撃し、着陸した真っ黒に焦げたカプセルに石を投げてみたりした後で当局に連絡した。

カプセル内部は明らかに高温に晒されていた。この時期既に有人計画は中止されていたため、カプセル内部のレイアウトには徹底的な変更が加えられた。この時期にFSWは回収型写真撮影偵察衛星として位置付けられたものと思われる。中国では初期シリーズのFSWにはナンバリングをしておらず、位置付けは中国でも不明確なのだと思われる。

FSWは3から5度という極めて浅い再突入角度を使い、特殊耐熱材としか公表されていない、非アブレータ系の恐らく炭素系複合材で再突入加熱を凌ぎきる。高度20から10キロのあたり、速度が200m/sを切ったところで小型の補助減速パラシュートを開き、高度5キロでメインパラシュートを開く。最終降下速度は10m/sほどである。


1977年に政権を握ったケ小平は、人民解放軍を縮小し軍事費を縮小すると共に、宇宙開発も縮小する考えを示した。復権した張翼翔は宇宙開発と弾道ミサイル開発に関して、整理した新しい開発体制を築こうとした。

1977年と1978年の風暴一号の打ち上げはペイロード無しの試験打ち上げとなった。

宇宙開発に関して「我々は月へ行く必要がありません」とケ小平は述べ、有人宇宙開発を停止し実用衛星の打ち上げを優先する考えを示した。この緊縮は、科学者たちの名誉を回復し、軍には大陸間弾道ミサイル開発の優先権を与えるものだったため、文革の終わりを意味するものとして実質歓迎された。

1978年12月の中国共産党第11期中央委員会第3回全体会議で4つの近代化、すなわち工業、農業、国防、科学技術の近代化向上を最重要課題とする政策方針は正式に承認された。改革開放路線が始まったのだ。

1979年1月、東風五号の試験打ち上げが再開された。翌年2月まで国内を着弾点とした試験をその後5回繰り返し、1980年5月、南太平洋上を着弾点とした試験を二度行い、成功させた。中国はこうして大陸間弾道弾を手に入れたのだが、実質、宇宙用打ち上げ機を転用した物だとも言える訳だ。

1979年5月、風暴一号によって科学衛星実践二号が打ち上げられた。250キロの実践二号は、箱型の構体を持ち展開式のパドルを持つ中国最初の近代的な衛星である。

1981年9月、風暴一号によって科学衛星実践二号、実践二号甲、実践二号乙の三機が同時に打ち上げられた。この実践二号は前回打ち上げられたものと同型だが、実践二号甲は長空一号に似た電離層観測衛星で、実践二号乙は金属球と風船をワイヤーで結んだ、大気密度を測るものだった。この三機同時打ち上げというのは所謂MIRVとは関係無い。ただ単に衛星重量に対して打ち上げ能力が過剰だったために思いついた、という事らしい。この打ち上げは"一箭三星"と呼ばれ賞賛されたが、これ以降風暴一号の打ち上げは終了し、ロケット開発は北京に集中される事になった。上海が再びロケットを作るのは1988年、長征四号である。


今回以下等を参考にした。


鲜为人知的中国洲际导弹-‘风暴一号’

http://military.china.com/zh_cn/critical3/27/20060929/13657542.html


FB-1未列入长征系列的火箭

http://qkzz.net/Announce/announce.asp?BoardID=13400&ID=396557


中国第一种电子侦察卫星−−长空一号

http://www.fyjs.cn/bbs/read.php?tid=34432&uid=3795


南方周末:中国返回式卫星首征太空揭秘

http://news.sina.com.cn/c/2005-10-06/17157938132.shtml


中国第一颗返回式卫星实验纪实

http://www.55www.com/junshi/miwen/510.htm


返回式卫星

http://www.ndcnc.gov.cn/datalib/2003/Science/DL/DL-62474


神舟前传 我返回式卫星发射揭秘

http://web.xwwb.com/wbnews.php?db=11&thisid=28148


中国卫星全集

http://bbs.hanshou.gov.cn/thread-20-1-9.html




中国の宇宙開発史#4 -2008年2月27日(水)06時20分


中国最初の衛星は1966年5月に、名前を東方紅一号とし、その名前の元となる楽曲をテープから再生、ラジオ放送するだけのものとするよう決定された。

1958年以来、概念設計と基礎研究に留まっていた上海と北京の衛星開発者たちは、ようやく実機に取り掛かる事となった。東方紅一号の開発者は北京に集約された。

衛星の重量は170キログラム、科学機器は一切積まず、太陽電池も積まず、バッテリのみで動作することとした。できるだけ海外で観測されるよう軌道傾斜角は70度が選ばれた。

完成した衛星は直径1mの72面体で、ほぼ球体の形状は大気密度の測定を意図したものだった。衛星構造はアルミ合金の本体の上にグラスファイバーを巻き、その上にアルミの薄板の多面体のカバーで覆ったもので、断熱を意識していた。バッテリは銀亜鉛バッテリ5個から成り、20MHzで設計では20日間、音楽のみを繰り返し放送する。

科学機器などを積むのは二号機の実践一号からで、これには太陽電池と、これにより充電可能なニッカドバッテリが搭載されていた。衛星構体は東方紅一号と同一だが、機械式シャッターによる能動熱制御を搭載するとともに、宇宙線探知器、X線探知器と磁力計を搭載し、20MHzと19.995MHzの二つの送信機から16チャンネルのテレメトリを降ろした。実践一号の開発は上海で行なわれた。


しかし開発はほとんど即座に、文化大革命に突入することになる。科学衛星開発を主導していた地球物理学者趙九章が紅衛兵に殺されたのは、極めて大きな影響を持っていたに違いない。中国の宇宙開発は科学界からの支援がほとんど期待できない状況に突入し、その影響は続く時代へと及んだ。

衛星開発は遅ればせながら保護されたが、科学と教育が大弾圧を受けていた時代においては、それはただ単に死ななくて済む、程度のものでしか無い。宇宙開発は軍の保護下に置かれ、軍に支配されるようになる。

1969年には科学衛星や次の再突入回収型衛星のためのコンポーネントや、東風五号用の大型エンジンYF-20の試験が本格化した。7月には衛星打ち上げシステムの結合試験が行なわれ、翌年4月1日、バックアップを含む2機の東方紅一号衛星と、ロケットコンポーネントの全てが酒泉射場に到着した。

周恩来は関係幹部を招集し、報告を受けた。毛沢東の認可のあと射場作業が始まり、4月24日には打ち上げ準備が完了した。

午後9時35分、長征一号は打ち上げられた。ロケットは問題なく衛星を軌道に投入し、成功の確認後の午後10時、周恩来は毛沢東に成功の報告をした。東方紅一号の電波は直ぐにアメリカの追跡網に拾われた。公式発表は翌朝だったが、ベトナム、ラオスとカンボジアの外交官たちには、国際会議の席上で衛星打ち上げの成功を明かした。

この衛星打ち上げ成功のニュースは、各国からの祝賀メッセージとして中国に帰ってきた。国際的な孤立状態にあった中国にとって、それは大きな価値を持っていた。国内ではお祭り騒ぎが続き、メーデーには毛沢東が銭学森らを賞賛する演説をした。

この成功のあと、毛沢東は有人衛星計画を許可した。

東方紅一号は28日間動作して、現在も軌道を周回している。実践一号の開発は関係者の下放などで遅延したが、翌年3月3日に無事打ち上げられ、以後8年以上正常に動作し続けた。


宇宙飛行士の選抜が始まった。1840名の推薦された戦闘機乗りから88名が選抜され、翌年5月に20名に絞り込まれた。北京の航天医学工程研究所で選抜と訓練は行なわれ、また宇宙食などの開発も行なわれた。

宇宙船の設計は上海で行なわれ、曙光一号と呼ばれた。宇宙船のデザインはマーキュリーカプセルに似た漏斗型という表現があるが、揚力再突入を意図した形跡は無い。アメリカのコロナ再突入回収カプセルに似た鈍頭円錐形状のカプセルと機械船の二つから構成されており、カプセルの耐熱材の詳細は明らかではないが、アブレータを使ったという説と、アブレータではなく炭素系複合材が断熱材として採用されたという説の二つがある。

この宇宙船の詳細は明らかではないが、いわゆるFSW衛星は当初有人宇宙船として開発された事が知られている。FSW衛星の重量は1.8トンである。マーキュリーカプセルの1.3トンより十分に大型だ。曙光一号は恐らく一人乗りであろう。再突入は揚力を利用しないため、逆加速度は最高8Gにまでなった筈である。

1970年7月に初めてパラシュートの試験が行なわれた。カプセルを空中投下し、そしてカプセルは開かなかった。次の試験、更に10月の再試験でも失敗し、担当者はサポタージュの罪で刑務所に入れられた。パラシュートシステムの試験に成功するのは1974年になってからである。

1971年4月、有人計画714研制は正式に発足した。最初の打ち上げは1973年末に予定された。


1971年9月、宇宙開発を巡る情勢は一変する。林彪事件である。

林彪は十大元帥にも列せられた軍人で、毛沢東に次ぐナンバー2、主席空席の状況での唯一の副主席として正式には中国トップの地位にいたが、毛沢東との対立の結果、暗殺を試みて失敗、林彪はソ連への亡命の途上で墜落死した。

東方紅一号の打ち上げ後、林彪は過剰な衛星打ち上げ計画を認可し、宇宙開発に支配力を及ぼそうとしていた。

事件後、七机部と宇宙開発関係者は粛清の対象となった。1976年、四人組の逮捕とケ小平の復権まで、宇宙開発は停滞することになる。弾道ミサイル開発は続行していたが、東風五号の開発は難航し、衛星打ち上げ機の開発の中心はこの時期上海に移った。

更に1975年、有人計画の打ち切りが決まった。有人計画よりまず地上の経済問題の解決が先だと、毛沢東と周恩来は合意した。中国を巡る国際情勢は大きく好転していた。中国の国力について虚勢を張る意味は薄れていたのだ。

1975年暮れ、銭学森は自分の上司にあたる張翼翔中将、人民解放軍における戦略ミサイル軍にあたる第二砲兵部隊のトップを、排他主義の廉で批判した。張翼翔は長征二号の打ち上げ失敗に関する調査を行なっており、銭学森と対立したのだ。

これを取り上げた四人組は、同時にケ小平も攻撃した。銭学森はこの尻馬に乗り、ケ小平を革命の道をゆく全ての科学者の敵として非難した。更に翌年の四五天安門事件の責任を問われ、ケ小平は再び失脚したが、10月に四人組は逮捕され、翌77年にケ小平は復権、ほどなく最高権力者の地位についた。

銭学森にとって、宇宙開発を巡る状況の袋小路を打破するための行動だったのだろうが、それは完全に裏目に出た。銭学森を中国に招き、保護し続けていた周恩来は既に亡く、張翼翔は復権し、銭学森は宇宙開発の最高指導者の地位を追われた。"両弾一星"の権威は地に墜ちたのだ。

銭学森はその後、気功の研究などに手を出す事となる。彼が気功を科学の研究対象であると宣言した事により、中国で気功はブームとなる。いわゆる法輪功の祖とも言えるだろう。

銭学森自身は、その後1989年の六四天安門事件において、ケ小平と李鵬らを支持する声明を発表する事により、再び露骨に権力側にすり寄った。その甲斐あってか、1991年には、国家に貢献した科学者たちの列に銭学森は再び加えられている。


今回は主に以下を参考にした。


「有人衛星シリーズ 中国航天員飛行記録」 左賽春 ISBN:4-274-19721-2

「有人衛星シリーズ 飛天夢圓」朱増泉 ISBN:4-274-19722-0


1970年4月24日我国成功发射的第一颗人造卫星是什么?

http://zhidao.baidu.com/question/44111809.html


DFH-1

http://www.globalsecurity.org/space/world/china/dfh-1.htm


揭秘:中国曾造“曙光”号航天飞船内幕

http://bbs.hnol.net/topic-660881-1-1.html



中国の宇宙開発史#3 -2008年2月21日(木)02時27分


弾道ミサイルの開発は主に北京で行なわれていた。

弾道ミサイルの開発は、国家の経済的困難にも関わらず、毛沢東と周恩来によって強力な庇護を受けていた。

1960年11月5日、最初の1059号弾の打ち上げは成功した。1059号弾は東風一号(DF-1)と名づけられた。

1962年3月21日、R-5のコピー、東風二号(DF-2)の最初の打ち上げは、69秒後に失敗に終わった。

失敗の原因はエンジンと誘導の問題と分析された。銭学森が全力を尽くさねばならないのは衛星計画ではなく、まず弾道ミサイル開発だった。彼は完全に新規に慣性誘導システムを開発する事を決意した。

銭学森が開発したのはディジタル慣性誘導システムであったが、当時の中国の電子工学の水準は極めて低かった。トランジスタの生産技術はソ連から供与があり、1958年には国産化に成功しているものの、その後の生産は質、量共にお粗末としか言いようがない水準に留まっていた。中国最初の全トランジスタ化コンピュータ、109Bは1965年にようやく実現している。

銭学森は中国の電子工学とコンピュータ技術に大きな投資をしてこの問題をクリアした。東風二号の打ち上げは1964年6月になってようやく成功する。同年、中国最初の核実験が行なわれた。次の目標は弾道ミサイルに核爆弾を搭載する"両弾結合"となった。

11月には東風二号の改良が始まり、65年にはこの射程を延長した改良型が前線に配備された。1966年、核を搭載した東風二号の試射が酒泉で行なわれ、搭載された12キロトン核は800キロを飛んで炸裂した。

この成功は当時国際的に孤立していた中国にとって、極めて大きな意味を持っていた。核を搭載した東風二号は日本をその標的として配備された。いまだ日中国交は正常化しておらず、中国にとって日本は政体がどう変わろうが相変わらず敵国であった訳だが、現実の脅威である米ソに対する阻止力には、東風二号はなっていなかった。

1964年、東風二号の打ち上げ成功後、続いて東風三号(DF-3)の開発も始まった。東風三号は外見上は太った東風二号に過ぎないが、中国最初の純独自開発のミサイルで、貯蔵可能推進剤を使い、倍の重量と推力、そして射程も倍の2800kmに増えていた。

1966年の東風三号の打ち上げは、離床111秒後に突然の推力低下によって失敗した。更に翌年の試験打ち上げでも同様の現象によって打ち上げは失敗し、そして以後試験打ち上げは中断された。

面白いのは、東風三号の開発が1980年代に入って再開されている事だ。改良型の東風三号甲の打ち上げ成功は1985年だった。

東風三号の開発開始と同時に、東風四号の開発も始まっている。

東風四号は東風三号を二段式にして射程を更に延ばしたもので、これに固体三段目を追加したものが中国最初の衛星打ち上げ機である長征1号である。同時に東風五号の開発も決定された。東風五号は最終目標即ち大陸間弾道弾である。東風二号甲から五号までの四種類を8年で開発する、この計画は"八年四弾"と呼ばれた。


中国最初の独自開発大型液体エンジンYF-1は、ソ連のR-12用エンジンRD-214によく似た4燃焼室構成のエンジンで、ソ連のイサーエフ設計局で考案された燃焼室構造によく似た再生冷却構造になっていたようだが、ターボポンプはソ連系ではなく、燃焼剤と酸化剤のターボポンプの間にガスタービンの来る、V-2由来の方式だった。ターボポンプの駆動はガスジェネレータ方式が用いられた。中国では以来この方式がずっと使用されている。

1957年頃の中ソ蜜月時代、ソ連側から技術を提供した主体はヤンゲルのSKB-586だと思われる。彼らがR-1,R-2,R-5を生産しており、更に抑制赤煙硝酸とUDMHを使ったR-12をその時期に開発している。当時中国は留学生らによって活発なスパイ活動を行なっており、R-12の開発資料が中国に流出していた可能性は大きい。

YF-1は最初トリニチルアミンとトリエチルアニリンの混合物を燃焼剤、抑制赤煙硝酸と四酸化二窒素の混合物を酸化剤として開発を始めたが、燃焼剤をUDMHに変更し、更に燃焼振動の問題に対処するためにインジェクタ構造を工夫すると共に、三種のインジェクタの配置によって燃焼振動を抑制する、インジェクタバッフルと同様の工夫に辿り付いている。

東風四号に採用されたのは改良型であるYF-2だった。YF-2は推進剤に抑制赤煙硝酸とUDMHの組み合わせを採用していた。更に2段目用に、YF-2の燃焼室クラスタを単一燃焼室にしたYF-3が開発された。開発順序が通常の逆である。彼らのスケジュールは切迫していたのだ。

通常、二段目エンジンは一段目分離後しばらくして点火される。さもないと一段目が遮る噴射炎で自分が損傷を受けるからだ。しかしこれは無重量状態での点火と推進剤移送の問題を生じる。東風四号では一段目分離直後に二段目が燃焼を開始する。噴射炎は段間部のトラス構造から逃げることが期待されていた。分離と二段目燃焼のタイミングは際どいものとなったが、無重量状態で点火する必要は無くなった訳だ。だからYF-3は高真空での動作のみを考慮すれば良かった。


これら開発の最大の障壁は、エンジンでも制御でも品質管理でも無かった。

文化大革命が始まったのだ。1966年5月に始まったこの原理主義政治運動は、保護されていた筈の宇宙開発、弾道ミサイル開発の分野でも容赦なく荒れ狂った。七机部はその活動を停止され、地球物理学者の趙九章や冶金学者の葉渚沛などの科学者や医者、教育関係者が紅衛兵によってリンチの末に殺された。

銭学森は1967年初頭に平職員の地位まで降格され、自己批判文への署名を強要された。多くのスタッフが下放され、溶鉱炉での労働を割り当てられた幹部もいた。管理体制の破綻のため第五研究院の活動は事実上停止した。

周恩来は衛星開発を救おうと、1967年3月に衛星開発を軍の管轄下とする事を党幹部に納得させたが、ここで保護下にあると宣言できたのは、わずか15名に過ぎなかった。

弾道ミサイルの開発の破綻も時間の問題だった。4月、人民解放軍は中国科学院そのものを保護対象とすることを宣言し、中国空間技術研究院が設立された。これにより、中国の宇宙開発と弾道ミサイル開発は、文化大革命の悪夢からようやく距離を取る事ができるようになった。

しかし、落ち込んだ経済と産業は、開発の継続を困難なものにしていた。


1969年11月16日、東風四号の最初の打ち上げは失敗に終わった。彼らは文革で中断した東風三号の開発を飛び越え、いきなり四号に挑戦したのだ。二度目の打ち上げは翌年1月30日で、これに成功した事で衛星打ち上げは一挙に大きなハードルを越えたことになる。

東風四号に固体三段目を追加したものが長征一号である。この固体三段目は柳南生によって開発されたものだった。

中国最初の宇宙開発による死者は、この固体ロケット開発の現場で起きたものだった。1962年12月6日、固体推進剤の混合中に起きた爆発で、技術者4名が死んでいる。1964年に固体ロケットの開発進捗のために会議が開かれ、問題の分析が行なわれ、開発は一挙に進んだ。しかし、1968年1月26日に死傷者は出なかったものの再び爆発事故は起きた。固体ロケットの製造現場では1974年に再び爆発事故を起こし、一人が死んでいる。

三段目エンジンはコンポジット推進剤を使い重量5トン、うち推進剤重量3.7トンという大型エンジンである。その後エンジンは試験を繰り返し、東風四号に統合される時がやって来た。

1970年4月24日、長征一号は最初の打ち上げで重量173キログラムのペイロード、東方紅一号を軌道に投入した。日本が衛星打ち上げに成功したわずか二ヵ月後のことであった。


今回の内容は以下等を参考にした。


"HISTORY OF LIQUID ROPELLANT ROCKET ENGINES" George P. Sutton ISBN:1-56347-649-5


周总理视察东风一号近程地地导弹发射(图)

http://war.163.com/06/0621/09/2K4MOVRT00011MSF.html


东风三号地地中程弹道导弹图集

http://bbs.cninuk.net/redirect.php?tid=92657&goto=lastpost


能告诉我“两弹一星”、“两弹元勋”的名单吗??

http://www.sanxiastone.com/q?ct=20&qid=5656998&pn=65535&rn=25&tn=rssqb


长征一号丁运载火箭

http://www.cnsa.gov.cn/n615708/n620172/n620658/n751396/65273.html


第二节 液体弹道导弹

http://www.bestinfo.net.cn/ztrd/kjsz/kjz/dwp/dwpdsz/t20060428_22238.htm



中国の宇宙開発史#2 -2008年2月15日(金)03時32分


もう一つの開発拠点が、上海の上海机電設計院である。上海交通大学を基盤として1953年に設立されたここでは、独自ロケット開発が1957年末から58年にかけて衛星開発の拠点として配置転換された人材によりスタートしている。代表的なメンバーは柳南生、王季希の二人であると思われる。柳南生はイギリスで博士号を得て帰国したばかりのエリート、王季希は上海交通大学の力学系副主任という立場だった。581研制が中止された後、中国の宇宙開発はただ此処だけが生き残っていた。

彼らは独自の小型ロケットT-1,T-3,T-4と試作を続けたが、打ち上げまで至っていない。T-5はV-2の技術を導入したとあるが、これはつまりR-1のことだろう。しかしこれも打ち上げに至っていない。大躍進政策の失敗による逆風の中、後継のT-7(探空七号)でようやく打ち上げに漕ぎ着けたが、しかしこれは本来のT-7の縮小版、探空七号模型(T-7M)であった。

最初のT-7Mは1960年2月19日に上海郊外の浜辺、南匯区老港に設けられた簡易射場から打ち上げられた。追跡アンテナは手動で動かし、推進剤タンクに内圧をかけるために自転車の手押しポンプが使われた。

T-7Mは全長4.21m、直径0.25m、全備重量190kg、推力2トン、最大到達高度は10kmである。推進剤はフルフリルアルコールとアニリンの混合物と、白煙硝酸だった。これは混合によって自燃する。推進材選択の独自性には注目すべきだろう。

1960年3月、南京の北、安徽省と江蘇省の境の山地(安徽省广コ)に、603基地と呼ばれた射場は建設された。60年の3月に作られたから603基地。中国では暫くこんな命名がメジャーだった。国内奥地に基地が建設されたのは、ひとえにT-7の開発に目処が立ったからであろう。T-7を海を越えて飛ばせば、国際間でミサイルとして名指しされる危険を孕む事になる。もしロケットが他国に落ちた場合、それは面倒な事になるだろう。

1960年5月、毛沢東は上海を訪れ、新技術展覧会を視察している。この時期毛沢東は大躍進政策の失敗から国家主席の座を譲っていたが、なおその権勢を衰えながらも維持していた。

毛沢東はT-7Mに目を留め、係員に到達高度を聞いた。8キロであるとの答えを聞いた毛沢東は”8キロしか飛ばないのか”と応えた。しかしその場に居合わせた研究者は、”今は8キロだが、すぐに20キロ、200キロ飛ぶだろう”と口を出した。

縮小型でない正式版、T-7は同年9月に初飛行を果たした。全長10m、直径45cm、標準ペイロード25kgのこのT-7シリーズの最大到達高度は115kmであった。T-7シリーズは1960年から1968年にかけて計18基が打ち上げられた。

上海交通大学は銭学森のホームグラウンドでもある。彼は将来の人工衛星開発とその先に向けて、T-7シリーズを利用した。一段目を小さな補助固体ロケットにしたT-7A型が開発され、様々な機器試験に用いられた。そして更に生物搭載可能なT-7A(S)シリーズが開発された。

T-7A(S)は機体先端の分離部がひとまわり太くなり、生命維持装置や観測装置などを搭載する空間を得た。中国科学院生物物理所はこれを一連の生物搭載打ち上げ実験に使用した。4匹の白鼠、12種の試験管に入れられた各種生物、そして二頭の犬がT-7A(S)で飛んだ。

1966年7月15日、T-7A(S2)ロケットを使い、ツァオバオ"小豹"という1頭の犬が打ち上げられた。更に28日にはシャンシャン"姗姗"という犬が打ち上げられている。この打ち上げでは少なくとも片方は高度70kmに到達した。

ツァオバオとシャンシャンの二頭は無事生還したが、衛星計画が本格化した三年後の1969年、研究所の合併による引越しの際に、他の犬に噛み殺されている。

T-7A(Y)は1964年から打ち上げを開始した、人工衛星搭載機器試験用の機体である。この機体は酒泉で2機が打ち上げられ、地上と星の写真撮影、電離層と赤外センサのデータを取得した。


1963年1月、国防部第五研究院の下に衛星開発の本格開発のため、上海机電設計院は再編され、メンバーは50人規模まで膨れ上がった。以降、民生宇宙開発は上海、軍事関連は北京という流れが定着する事となる。

1965年、衛星計画は1970年打ち上げを目標として新しく組み直され、承認された。この651研制(65年の1番目の計画)により開発体制は更に大幅に変わり、上海机電設計院は七机部、後に第八設計院となった。

ただ、彼ら全員がそのまま衛星開発の現場に横滑りできた訳ではない。柳南生はその後小型固体ロケット"和平"シリーズの開発に携わっている。彼のようなホープが衛星開発ではなく傍流に廻ったのは、何か訳があったのだろう。

衛星計画の復活は、大躍進政策の失敗を否定する毛沢東らの意向を汲んだものだった。従ってその目標も期限も以前のものからほとんど変化は無い。15年以内にイギリスの経済力を凌駕するという大躍進政策は明らかに失敗していたが、宇宙計画は優遇されていた。

651研制はその目的を、単純な衛星を打ち上げ、中国の衛星の能力を全世界に示す事をまず第一とし、その後回収可能衛星の開発へと進む事としていた。回収可能衛星と生命維持システムが合わされば、有人飛行まで直ぐである。中国の宇宙開発はこの時期、根底において有人飛行を目的としていたことは間違いない。


603基地は1966年を最後に打ち上げに使用されなくなり、1980年に閉鎖された。


今回の内容は以下を参考にした。


特別策划:中国航天50年

http://tech.163.com/06/1116/10/301UR4F800091537.html

王季希のプロフィルについてはここを参考にした。


固体火箭专家杨南生:他从神秘王国走来

http://news.sina.com.cn/c/2003-09-19/17531776976.shtml

柳南生についてはここを。


T-7M――毛泽东看过的火箭

http://qkzz.net/Announce/announce.asp?BoardID=13400&ID=340270


被遗忘的火箭发射基地

http://www.fgly.cn/bbs/viewthread.php?tid=130890


集中力量研制探空火箭,为卫星开路

http://bbs.ccut.edu.cn/simple/index.php?t39114.html


宇宙生物学研究的开拓者

http://www.ibp.ac.cn/c/sites/10045/06/archives/sciencetimes_20030915.html

中国の宇宙開発史#1 -2008年2月11日(月)01時49分


中国の宇宙開発は1955年、銭学森のアメリカからの帰国から始まる。

銭学森はアメリカにおける弾道ミサイル制御の初期の第一人者だった。何せフォン・カルマンの直弟子である。

彼は北京で天才少年として認められ上海交通大学に入学し、卒業後1935年にアメリカに留学することになる。MITに一年在籍した後、カルテクでカルマンに師事して39年に博士号を取得し、43年には助教授になっている。この時期彼はカルテク周辺のアマチュアロケットグループに入ったり、空軍のためにRATO用の小型固体ロケットの開発をしたりしている。

彼はJPLの共同設立者の一人でもある。1944年には初期の月探査機に関する研究を行っている。また彼はカルマンの助手としてアメリカの軍事航空分野での助言を行なった。ドイツ降伏直後には臨時に大佐の階級を得て、ドイツのロケット技術の調査に参加している。戦後は大陸横断ロケットプレーンの提案で雑誌や新聞等を賑わせもした。

1946年に結婚し、50年には米国籍を取得したものの、彼はアメリカ国内を吹き荒れるマッカーシズムの煽りを受け、1951年にはFBIに軟禁されるようになる。更に、暗号書類を持っているという告発を受けて刑務所に送られたが、この暗号書類と言うのは単なる対数表であったことが直ぐに判明した。彼は帰国を希望するようになっていた。

銭学森の帰国は朝鮮戦争時の米兵捕虜との交換で実現した。セキュリティリスクを伴う彼の帰国の希望は数年がかりでようやく叶えられたが、書類等の持ち出しには極めて厳しい制限が課せられた。この時期に彼が研究していたのは、コンピュータによるロケット誘導という当時最もホットな分野だったのだ。


銭学森の帰国以前にも、ヨーロッパでA-4(V-2)をつぶさに見て帰国し、軍に意見する者もいたが、それら意見は中国の政策決定になんら影響を及ぼしていない。しかし、帰国後の銭学森の動きは迅速で、反応も素早かった。帰国後の翌年2月、銭学森は意見書"建立中国国防航空工業的意見"を党中央に提出し、早速3月には中国の科学政策の中にロケット開発が組み込まれている。4月には航天工業委員会が立ち上げられ、5月には弾道ミサイル開発が決定された。

1956年10月、銭学森は新設された国防部第五研究院の院長となった。銭学森は当初、時間をかけて独自開発をすることを考えていたが、1957年8月、ソ連からの技術援助が受けられる事が決まり、事態は再び急転した。


1957年12月24日、ソ連から102人の技術者がやってきた。彼らは中国に弾道ミサイルの作り方を教えるために来たのである。技術移転されたのは、R-1,R-2,そしてR-5だった。全て液酸アルコールエンジンを使うA-4(V-2)系統の技術である。R-5の機体構造とアビオニクス(誘導系を除く)は先進的なものだったが、ソ連独自の慣性誘導系を持つR-5Mの技術は恐らく中国には渡っていない。使われたのはソ連でドイツ人技術者達が開発した無線誘導方式である。目標誘導に慣性誘導は使われていない。エンジンもグルシコの技術的跳躍以前のもので、A-4のものとスケールや技術的洗練の差は有れど、基本的に大差は無い。

時はスプートニクが打ち上げられて間もない頃である。1958年5月、共産党第8期全国代表大会第2回会議で"社会主義建設の総路線"と共に、中国独自の人工衛星の開発が正式に発表され、10月には酒泉射場の建設が始まった。この衛星計画を581研制と呼ぶ。衛星計画は悪名高き"大躍進"と共に始まったのだ。

R-2のコピーは順調に進んだ。材料の40%は入手性の問題から変更せざるを得ず、それに伴う設計変更が行われた。このR-2の中国コピーは、1959年には開発完了との見通しに基づいて1059号弾と名づけられた。

しかし、中ソ間の関係冷え込みに伴い、1959年6月、中国への技術支援は打ち切られる事となった。1059号弾の開発は突如として困難を極めるものとなった。更に衛星計画は国力に不相応と、開発の停止判断がなされ、弾道ミサイルの開発に注力する事となる。大躍進政策の悲惨な失敗は、順調に成長していた筈の中国の経済力をずたずたにしたのだ。

ソ連人技術者たちは60年6月に全員が引き揚げた。この初期のソ連からの技術導入というのは2年半、実質1年半の事でしかなかった訳だ。


しかし、これで突然中国独自のロケット開発がストップした訳ではなかった。むしろ逆に、スプートニクによって幕が開いた宇宙開発への関心を背景に、独自の成果が芽を出すことになる。

一つは、北京の北航飛机設計研究所で開発された"北京二号"という全長2.9mほどの固体ロケットである。1958年9月、吉林省白城子で初めて打ち上げられ、高度7.4kmに達した。更に全長7.2mの液体型のもまた同年10月に打ち上げに成功したという。液体型は全長5.9m、最大到達高度は45.5kmと桁違いのものとなった。双方とも推進剤の種類は不明である。

しかし、これら全く基礎技術の違う二種類が同時に開発されたというのはどうにも解せない。固体型の実在を疑う理由は無い。打ち上げを記録した写真が残されており、北京航天航空大学には実機も展示されている。写真では草原に垂直に立てられた高さ20m程の鉄塔をガイドに打ち上げられたことが判る。つまり誘導も制御も無かったのだ。

液体型の資料は少ないが、によると機体後端に推力偏向板の端と見えないことも無いものが描かれている。射程もこれは制御抜きには不可能である。固体型と液体型の間には、大きな技術的ギャップがあるのだ。その後北航飛机設計研究所では後継ロケットの開発は行われていない。ちなみに北京一号は双発の軽旅客機である。


今回の内容のために以下を参考にした。


"CHIAN'S SPACE PROGAM" Brian Harvey ISBN:1-85223-566-1


中国第一剑

http://www.skylook.org/info/info/info_1701.html


新中国的第一枚探空火箭北京二号掲秘

http://www.fyjs.cn/bbs/read.php?tid=22904&fpage=23


火箭是怎祥升腾的

http://www.chinadv.com/tech/6500/



FT245RLの使用上の注意 -2007年12月26日(水)20時06分


FTDI社製USBクライアントコントローラ、FT245RLは8bitパラレルポートの入出力をサポートしたデバイスである。FTDI社のVCPデバイスドライバの導入により、PCからはシリアルポートとして見えるようになり、これでソフトウェア開発は大幅に単純化される。

FT245RLを使うには配線のみ、プログラムを書かずに済み、簡単である。しかし、これを高いパフォーマンスで使用するのは難しい。高速を求めてパラレルデバイスを求めたのなら、残念ながらそこには壁があるのだ。

VCPドライバを使う限り、PCはデバイスから、好きなタイミングでパラレルデータを取得することができない。出力も、外部に何かRDピンをネゲートしてやるハードウェアが別に必要になる訳だが、それは比較的簡単だ。物凄く簡単な方法として、RXFピンに構わず、RDピンに適当なクロックを与えるという方式がある。同じクロックでラッチすれば、出力ポートの出来上がりだ。

連続的なPCへのパラレル入力も、同じような方法なら可能だ。

しかし、入力も出力も両方やろうとするのは難しい。入出力共にパラレルポートを使用するにも関わらず、パラレルポートは入出力双方に対して1つしかないから、入力と出力で排他制御しなければならないのだ。

……手軽と言った最初の言葉は何処へ行ったのであろうか。もはやマイコンかFPGAの世界である。更に言うなら、パラレルの高速さを生かそうとすれば、選択肢はFPGAしか無いのだ。


という訳で、FPGAで双方向通信をできるだけ高速に行おうとする際のTipsを少々。

1:FT245RL内部の入力コントローラと出力コントローラは別々に動いている。例えばTXEピンが上がっている間にもRXFピンがアサートする、という事が起こり得る。

2:バッファにデータがあるうちはデータは連続で出力される。RDをネゲートしてRXFもネゲートされ、そうして200nsecも待てばRXFが再びアサートされることになる。

3:RDをアサートしなければFT245RLはデータを出力しない。FT245RLの出力バッファが一杯の間にも入力を行う際、これは基本的な操作となる。

4:RDをアサートしても20nsec程度はデータが安定しない。データのラッチタイミングはこれを考慮に入れなければならない。

5:バッファから次々とパラレルポートに出力されている間、RXFピンがネゲートしている間にもデータ入力シーケンスは行える。勿論データ入力そのものは行なえないが、予備動作は行なえるのだ。RXFピンがネゲートしたらバスに入力データを出し、20nsec待ってWRをアサートすれば、データ入力シーケンスは出力待ちの間に終えてしまうことができる。


あとは大体スペックシート通りに動く筈だ。



四本脚の宇宙飛行士#4 -2007年12月16日(日)02時13分


 スプートニク2号以降も、第三期動物打ち上げは続行されていた。これら実験の目的は有人飛行のための事前研究であり、道のりはまだ遠かった。

 1958年8月に再開された打ち上げではR-2Aで2回、新しいR-5Aで3回の打ち上げが行なわれた。R-5Aは犬たちを400km以上の高度に打ち上げた。R-5Aの大気圏再突入は過酷なものとなり、分離部エアブレーキは真っ黒に焼け焦げた。

 最初の打ち上げはパルマとブシヴォークの生命を奪った。死因はキャビンの減圧である。6日後の二度目の打ち上げでは、ビーリャンカとビョスケーヤの二頭は高度453kmから帰還したが、無事と言う訳にはいかなかった。心電図には明らかな異常が見られるようになっていた。

 R-5Aが最後に犬たちを乗せたのは10月に入ってからだった。有人衛星計画はくさび型ではなく球形のカプセルを使うよう決定され、秋には詳細設計に入っていた。

 R-5A最後の打ち上げではパラシュートの制御に失敗し、そうしてズリバとクノッカは死んだ。

 この後も動物打ち上げは続行されたが、打ち上げにはR-2Aが用いられた。翌1959年7月は二機が打ち上げられて、うち1機には犬たちに加えてウサギも打ち上げられた。ウサギは瞼の上の筋肉に電極を埋め込まれて、無重力状態での筋肉の収縮を調べられた。

 1960年の2機が弾道飛行での動物打ち上げの最後となった。ここでも1機、ウサギを乗せたフライトが行なわれている。この2回の打ち上げも6月24日を最後に無事に終わった。

 ひと月後にはコラブル・スプートニク、ヴォストーク試験機に二頭の犬が載って、衛星軌道に飛ぶはずだった。


 弾道飛行をした犬たちは全部で36頭、うち15頭が生命を落とした。


 犬たちの宇宙飛行は、次の段階、軌道飛行の段階へと移った。

 1960年5月15日、最初のヴォストーク宇宙船の試験機1КП、最初のコラブル・スプートニク(корабль-спутник:船-衛星)が打ち上げられた。これには人も動物も乗っていない。電気的、機械的にはほぼ同じだが、熱遮蔽が備わっていなかった。この機体は再突入シーケンスに失敗し、減速の代わりに高軌道へ遷移してしまった。

 2機目のコラブル・スプートニクになるべき機体1ККはチャイカ(Чайкой)とリシーチカ(Лисичкой)を乗せて、打ち上げ直後に爆散した。チャイカは打ち上げ時にバース(Барс)という名前に改名された可能性がある。

 赤毛のリシーチカはコロリョフによくなついていた。射出座席は動作しなかった。


 1960年8月29日、2機目のコラブル・スプートニク1К-2は打ち上げられた。これに載せられたベルカ(Белки)とストレルカ(Стрелки)の2頭は、地球を18周して帰還した。およそ1日中飛んだことになる。

 この機体は更に白と黒の12匹のマウス、昆虫、とうもろこしと小麦の種子、菌類を搭載していた。宇宙での犬たちの様子は低レートテレビ画像のテレメトリで地上に送信され、その録画は世界に公開された。更に帰還後、2頭は各国の報道陣の前に晒された。この機体も西側ではスプートニク5号と呼ばれたりもした。

 その後ストレルカは出産し、その仔のうちプシヴォク(Пушок:糸くず)と名付けられた1頭が、フルシチョフからケネディにプレゼントされている。


 12月1日、三機目のコラブル・スプートニク1К-5にはチャルカ(Пчелка)とムーシカ(Мушка)が載せられ、地球を17周したところで減速噴射を実施したが、減速速度は希望より何故かすくなく、大気による減速が十分でないと判断した自動装置はАПО(аварийного подрыва объекта:緊急破壊装置)を起動した。着陸はソ連領土の外であってはならなかったのだ。こうして2頭は死んだ。海外には単に再突入に失敗したと発表された。


 12月22日、4機目のコラブル・スプートニク1К-6が打ち上げられたが、3段目は予定より早く燃焼を終えてしまい、宇宙船は軌道に乗ることなく大気圏へ再突入した。宇宙船のエスケープシステムは再突入カプセルを分離し、そして緊急破壊装置が働くことなくカプセルがシベリア、ヤクーツク近くに着陸したことを地上局は報告した。緊急破壊装置は再突入異常の他にも、60時間のタイマでも起動する。即座に捜索隊が組織され、至近の町でヘリが徴発された。

 この機体に乗っていた犬の名前には諸説ある。チューカ(Шутка)とコメータ(Комета)が乗っていたという説と、ズールカ(Жулька)とゼムチュゼナ(Жемчужина)が乗っていたという説があり、更にゼムチュゼナはズールカが改名されたものだという話(ガゼンコ談。ズールカは後にガゼンコの飼い犬となる)や、コメータがズールカの改名後の名前だと言う話がある。

 ヴォストークカプセルは着陸前に宇宙飛行士を空中で射出し、宇宙飛行士はパラシュートと身ひとつで着陸する。コラブル・スプートニクでは犬たちの生命維持カプセルは射出座席に固定され、本番と同じプロセスで空中に射出され、パラシュートで着陸する筈だった。現にベルカとストレルカはそうやって帰還していた。

 しかし今回、犬たちは射出されていなかった。着陸時の衝撃は20Gにも達した筈である。捜索隊は自爆装置を解除して、衰弱しきった犬たちを助け出したが、それは打ち上げから三日後のことであった。この事故は報道されなかった。


 1961年3月9日、チェヌシカ(Чернушка)と等身大人形イワン・イワノヴィッチ、40匹のマウスを乗せた5機目のコラブル・スプートニク3КА-1は、地球を一周して無事帰還した。コロリョフは最初の有人飛行を地球一周のみとすることを決めていた。

 チェヌシカは射出座席に乗らず、代わりに人形が射出された。チェヌシュカは専用の生命維持ケージの中で、カプセルと共に着陸した。着陸したのはクイビシェフから260kmの地点で、チェヌシカは怪我もなく無事だった。

 続けざまの3月25日、ズヴェヅドチカ(Звездочка)とイワン・イワノヴィッチ人形を乗せたコラブル・スプートニク3КА-2もミッションを完全に遂行した。ガガーリンの飛行直前のことである。ズヴェヅドチカは元々は別の名前だったが、もっと良い名前を付けようとガガーリンによって改名されたものである。

 3月29日のミーテイングで、コロリョフは関係者に、有人飛行に問題無しと報告した。


--------------------------------------------------------------------

コミックマーケット73、土曜(29日)東Q59a『風虎通信』にて、「宇宙の傑作機 スプートニク」頒布致します。


内容は人工衛星という概念の初めから概説して、テレメトリ技術などに寄り道しつつ、スプートニク、スプートニク2号、スプ−トニク3号に関して経緯などを解説します。またソ連の初期動物打ち上げ実験に関してもまとめてみました。その後、江藤さんのヴォストーク本の範疇に入るのですが、コラブル・"スプートニク"と呼ばれた関係から、主に乗って飛んだ犬たちに焦点を絞ってそこらへんを。あと何故か中国の話も。



四本脚の宇宙飛行士#3 -2007年12月12日(水)00時13分


第三期打ち上げ実験は、新しいR-2Aロケットを用いて行なわれた。R-2Aは高度210kmにまで達する能力を持っていた。第三期では犬以外の動物や、麻酔状態での犬の状態が調べられた。

この時期新たにガゼンコ(О.Г. Газенко)が科学者たちに加わっている。ガゼンコは以後のソ連宇宙生物学において大きな働きをすることとなる。

R-2Aの先端分離部は大型のパラシュートとエアブレーキを持った、重量1.4トンの弾道飛行宇宙船と言っていい規模のものだった。これは液体浸出による能動冷却の機能を持っていないことを除けば、チホンラーヴォフの1954年のレポートにあった有人宇宙船のイメージそっくりである。コロリョフは更に大型のものに人間を載せて、新しいR-5ミサイルで打ち上げるつもりだった。

分離部内部は飛行中、最大で摂氏90度以上にまで達したが、犬たちのキャビンは25度に保たれた。R-2Aのもたらす速度は、最大6分もの自由落下時間をもたらした。しかしその速度は、減速時において犬たちに最大8Gもの逆加速度をかけることとなった。この警告無しの減速は、犬たちに内出血をもたらすことが多かった。


第三期で加わった犬たちは以下の15頭である。

リベア(Рыжая)赤毛

ゾイマ(Джойна)

ベルカ(Белка)リス 後に軌道飛行もおこなう。

マヅニーカ(Модница)流行の先端

クサチカ(Кусачка)小美人

パルマ(Пальма)てのひら

オトバシナーヤ(Отважная)勇敢

シメジンカ(Снежинка)雪片。出産後ピンチスナーヤ(Жемчужная)真珠 と改名。

マヨーク(Малёк)稚魚

ブシヴォーク(Пушок)糸くず

ビーリャンカ(Белянка)白い蝶

ビョスケーヤ(Пёстрая)カラフル

ズリバ(Жульба)

クノッカ-2(Кнопка2)

パルマ-2(Пальма2)


これに第二期のダームカが加えられ、9機のR-2Aと3機のR-5Aの、計12機が1957年から1960年にかけて打ち上げられた。打ち上げは1957年5月から始まり、二回目の打ち上げでリベアとゾイマが減圧で出血死したほかは順調に打ち上げられた。3回目の打ち上げではマヅニーカとベルカが乗せられたが、ベルカは麻酔をかけられた状態での打ち上げだった。麻酔はアメリカの打ち上げではよく行なわれていたが、ソ連では初めてだった。これは宇宙空間での動物生理に意識の有無が関わるのかどうかを知るためだった。4度目もベルカは麻酔をかけられ、今度はダームカと一緒に飛んだ。5回目の打ち上げは9月で、意識のあるベルカと、麻酔を掛けられたマヅニーカのペアが飛行した。麻酔をしても、無重力状態の影響は現れるようだった。

そして、1957年10月4日が、更に11月3日が来る事になる。動物の軌道到達は大幅に早められた。スプートニク2号だ。



四本脚の宇宙飛行士#2 -2007年11月9日(金)01時54分


第二期打ち上げ実験は1954年7月から行なわれた。その年の5月には衛星計画が科学アカデミーで承認され、コロリョフの前には、宇宙開発の道が正式に開かれようとしていた。コロリョフは無人衛星の次の段階、有人打ち上げを既に視野に入れて活動していた。コロリョフはこの時期、有人弾道飛行を検討していた。

第一期打ち上げ以後も、IAMにおいて動物打ち上げの研究は進んでいた。今回の実験の目玉は宇宙服であった。この宇宙服は1952年に出来たばかりの与圧服開発製造を請け負う工場No.918、のちのズヴェズダ社で製作された。

この犬用の宇宙服はトナカイの毛皮の断熱材とプレキシガラスのバブルヘルメットを持っていた。前足は自由に動かせたが後ろ足は胴体と同じ袋の中だった。どちらにせよ空気循環装置などの隙間に収まってしまうと、犬たちは身動きできなかった。

新しいロケットR-1D(Р-1Д)とR-1E(Р-1Е)の分離部は、犬たちを様々な高度で射出するシステムを備えていた。犬たちの生命維持装置は、2リッター150気圧の酸素ボンベから二時間分の酸素供給が可能で、高度3600メートル以下の高度でバブルヘルメットの弁が開くようになっていた。更に生命維持装置のフレームにはパラシュートと無線テレメトリシステムが一頭づつに装備された。テレメトリは呼吸圧力や血圧、温度のデータも採取していた。


新たに加わった犬たちは以下の12頭である。

ダームカ(Дамка)

リタ(Рита)

リサ-2(Лиса2)

バレイジク-2(Рыжик2)

ミーシャ-2(Мишка2)

リンダ(Линда)

ブーリバ(Бульба)

マリシュカ(Малышка)小さいという意味

クノッカ(Кнопка)ボタンの意味

アルビナ(Альбина)白い、という意味

カニャッカ(Козявка)昆虫の意味

ミリンダ(Мильда)


打ち上げは九回行なわれた。最初はリサとバレイジクのペアが打ち上げられ、二頭とも帰還した。しかし二度目の打ち上げでダームカと組んだミーシャが死んだ。三度目の打ち上げではダームカと組んだパレイジクが死んだ。この二頭の死因は不明である。

翌年1月に再開された四度目の打ち上げではリタが、更に五度目の打ち上げでは打ち上げ時の振動が原因でリサとブーリバが死んだ。

六度目は11月に行なわれた。この打ち上げでは帰還したはずのカプセルが着陸地帯で見つからず、三日間に渡って見つからなかったため、マリシュカとクノッカの生存は絶望視された。しかし、諦めず探索を続行した兵士たちは、草原を移動する羊の群れの中に二頭を見つけた。カプセルは羊の群れの真ん中に落ち、移動する羊たちにパラシュートを引きずられていたのだ。二頭は羊飼いが助けていた。

その後1956年5月から6月にかけて行なわれた三回の打ち上げは、何事も無く終了した。 科学者達は無重量環境での心拍数の変化を検知したと考えていた。打ち上げ時のストレスにも関わらず、宇宙空間で心拍はゆるやかになるように思われた。帰還した犬たちは打ち上げ前と何ら変わった所は見受けられなかった。第一期打ち上げを経験した犬たちの、その後の観察結果も同様だった。


1956年12月、パリで開かれたロケットと誘導ミサイルに関する国際会議の席で、これら二度の動物打ち上げ実験について発表が行なわれた。この場では犬用宇宙服、パラシュートと空中射出の手順について詳しく解説し、これら手法が有効であり、飛行は犬たちに本質的な変化をもたらさなかったと結論した。



四本脚の宇宙飛行士#1 -2007年11月4日(日)23時11分


「宇宙の傑作機 スプートニク」の原稿を書いています。冬コミに間に合うか判りませんが、その中から一部を。

----------------------------------------------------------------------

ジュール・ヴェルヌの小説「地球から月へ」(Le Voyage dans la lune)では、人間たちと一緒に2頭の犬も、砲弾型の有人宇宙船に乗って月へと目指した。しかし、うち一頭は打ち上げ時の衝撃で怪我をし、その後亡くなっている。この犬の名はサテライト、"衛星"であった。死骸は船外に投棄され、文字通り衛星となったのである。


もし宇宙を、高度100キロメートルへの到達と定義するなら、宇宙に最初に到達した動物は、アルバートIIという名前の体重3キログラムの小さなアカゲザルだ。

A-4(V-2)の技術を使った高々度への生物打ち上げはアメリカが先行していた。1948年6月11日、ホワイトサンズ射場でその最初の試みは行なわれた。ミサイル先端を分離式とし、パラシュートを装備した科学探査用の改造型A-4ロケットは、アカゲザルのアルバートIを載せて打ち上げられた。ロケットは高度60キロに到達したが、ロケット先端のカプセルは分離に失敗し、アルバートIは死んだ。

三日後、二匹目の猿が打ち上げられた。彼こそがアルバートIIである、しかしロケットは高度133キロに達した後、ミサイル先端の分離には成功したもののパラシュートの展開に失敗し、アルバートIIも死んだ。

翌年9月16日に打ち上げられたアルバートIIIは、打ち上げ10秒後に爆発したロケットと運命を共にした。11月12日に打ち上げられたアルバートIVは、アルバートIIと同じようにパラシュートの展開失敗によって死んだ。

1951年に再開された生物搭載打ち上げでは、ロケットをA-4から小型のエアロビーに変更して行なわれるようになる。


ソ連では、1951年7月22日に初めて生物を搭載した打ち上げが行なわれた。

コロリョフと科学アカデミー会員ブラゴノラーノフ(А.А. Благонравов)らの主導の元に、準備は1949年の冬に始まった。

モスクワの航空医学研究所(IAM)がコロリョフの要請で協力することとなった。アメリカの高々度動物打ち上げとその顛末の内容は論文として既に発表されていたが、IAMのイズダクスコィ(В.И.Яздовского)らは、アメリカの実験は、猿たちが宇宙空間の影響を受けていたとしても有意なデータを取得できておらず、無重量や宇宙線の影響のような、宇宙空間で動物が、人間が生存可能であるかという疑問に答えていないと考えた。

彼は高度100キロメートルへ打ち上げる搭載動物をおとなしい犬とし、そして個体差を考慮して二匹同時の打ち上げを提案した。確かに猿は生理学的に人間に近かったが、センサの取り付けが難しく、高い脈拍と呼吸頻度もデータサンプリングを難しくしていた。ネズミやウサギも同様である。それに対して、ロシアの科学者たちはパブロフ以来の伝統として犬を熟知していた。彼らは犬の情緒反応は猿のそれよりも人間に近いと考えていた。

犬たちはまず小さく軽くなければならなかった。搭載空間は28センチ立方程しか無く、一匹の重さは6から7キログラムの範囲内でなければならなかった。

年齢は生後18ヶ月から6歳までの間が望ましいとされた。カメラ映りの関係上、明るい毛色の犬が好まれた。そして衛生装置の都合から雌の犬のみが選ばれた。

候補となる犬たちはモスクワの路上から集められた。モスクワの過酷な寒さと飢えに耐える事が出来た犬たちは、どのような訓練と実験にも耐えるものと期待されていた。

犬たちの訓練は、彼らのための服に慣れることからはじまった。センサを装着したぴっちりしたスーツに胴体を覆われ、狭い空間でじっとできなければならない。

犬たちは、打ち上げ時の加速を模擬した遠心器で10Gまでの加速と、飛行機によるパラボリックフライトで短いながら無重量を経験した。科学者たちはそれらの条件での犬たちの反応をモニタし、選別に利用すると共に、将来の打ち上げ時の参考データとして経験を積んだ。

平行して、犬用の生命維持システムが開発された。生命維持装置が必要とされたのは打ち上げ準備を含めて数時間程度であった。ロケット先端の回収モジュールに適合した気密カプセルには、21.5%の酸素を含む混合気体を供給するタンクとインジェクタ、二酸化炭素を除去するためのソーダ石灰キャニスター、湿度調整用のシリカゲルが付属することとなる。センサとしては脈拍、呼吸頻度、皮膚温度、そしてカメラが搭載された。カプセルの呼吸気弁は再突入後、外気圧が一定以上になると自動的に開いて外気を取り入れるようになっていた。

最初の打ち上げ候補達は以下の9匹である。


 デジカ(Дезик)

 ツィガン(Цыган)ジプシーという意味。

 リサ(Лиса)狐という意味。

 ミーシャ(Мишка)

 チジェク(Чижик)

 スリャウィ(Смелый)ボールド体という意味。

 バレイジク(Рыжик)サフラン色という意味。

 ネプツォビィ(Непутёвый)無気力な、という意味。

 プラシュカ(Рожка)靴べらという意味。


1951年7月のカスプチンヤールにやってきた犬たちは、コロリョフら技術者、科学者、そして兵士らに可愛がられた。当時のカスプチンヤールは1947年とは違い、設備が増強されて快適な生活が送れる場所になっていた。

最初に打ち上げられたのはデジカとツィガンの二匹だった。ロケットは高度109kmまで上昇し、切り離された先端部はパラシュートで正常に帰還した。二匹は宇宙から生きて帰還したのだ。カプセルのハッチを開けると、犬たちは飛び出してきて草原の真ん中で人間たちにじゃれた。犬たちに、打ち上げ前と決定的に違う要素は見つけられなかった。

打ち上げは6回予定されていた。二匹づつ載せるから、9匹だと二回以上乗るものが現れる。二度目はデジカとリサの組み合わせだった。

しかしパラシュートは開かなかった。デジカとリサは死んだ。不具合の原因は振動によるリレーの動作不良であると思われた。ブラゴノラーノフはツィガンを二度と飛ばせるつもりは無いと言明した。

二週間後、打ち上げは再開された。

四度目の打ち上げの直前、8月17日、散歩中にスリャウィが逃亡した。逃亡といっても廻りは360度全て果てしない草原である。翌日には射場の簡易食堂で兵士たちに頭を撫でられている姿を発見されていた。スリャウィは翌日そのまま打ち上げられた。

五度目の打ち上げはミーシャとチジェクのペアだった。二匹は三度目の打ち上げから生きて帰還したペアである。しかし今回、帰還した犬たちはカプセルの中で窒息死していた。圧力調整器の故障で外気取り入れ弁が動かなかったのだ。

最後の打ち上げでは、カプセルに直接ドリルで穴が空けられた。実験期間のうち、外圧が本当に低い期間は短い。その穴からカプセルの中の気体が漏れても気体供給の能力は充分であったし、窒息も避けられる。勿論これは不具合の解決の先送りだった。

これにはプラシュカとネプツォビィの二匹が載る事になっていた。しかし打ち上げ準備中に、今度はプラシュカが逃亡した。打ち上げまで二時間という段階で途方に暮れていたところで、関係者は簡易食堂にいた子犬に眼をつけた。おとなしい名前も無い子犬に、ジフ(ЗИБ)という名前を与えて、ロケットに乗せて打ち上げてしまったのだ。ちなみにジフとは、逃げた駄犬の代わりという単語の頭文字3文字である。

二匹は無事帰還し、以後ジフも"四本脚の宇宙飛行士"に正式に仲間入りすることになる。



「ロケットと人々 II」日本語機械訳 -2007年10月3日(水)23時37分


チェルトク(Б.Е.Черток)は旧ソ連の宇宙開発現場において電気制御システムに関わった技術者です。彼は戦前のロケットグライダーBI-1開発から宇宙開発に関わり、戦後コロリョフらと合流して、機器制御や誘導といった分野で技術者として、また上級マネージャとして働きました。

彼の回顧録 "Ракеты и люди"(「ロケットと人々」) は4分冊からなる大部ですが、NASAの資金協力により、現在第二部まで、Asif A. Siddiqiによって翻訳され、更には全文がpdfで公開されています。

"Rockets and People Volume I"pdf1 pdf2 pdf3

"Rockets and People Volume II" pdf

今回このうちVolume IIのほうを機械訳したものを公開いたします。


"Rockets and People Volume II 日本語機械訳"


Volume IIのカバーするのは、二次大戦後の1946年、チェルトクらが占領下のドイツから帰還したところからです。彼らはA-4(V-2)の復元とソ連生産バージョンの開発に取り掛かりますが、それらと平行して様々なエピソードがあったことには驚かされます。

更にR-11(スカッドA)とR-11FMの開発の経緯、R-7開発の試行錯誤、スプートニク、初期のルナ月探査機、そして初期の火星及び金星探査機の開発とその運命、最後にいわゆる”ネデーリン大惨事”に触れます。ヴォストークと有人宇宙開発はVolume IIIに含まれます。


NASAのサイトで公開されているコンテンツは、著作権に緘して特別の但し書きが無い場合は、原則パブリックドメインとなります。元文書に関して、著作権に関する但し書きを見つけられなかったので、これはパブリックドメインであろうと思われます。ここで公開する日本語訳は機械訳であるため、翻訳によって生じる日本の著作権法に基づく著作権の汚染を受けていません。

この訳文に関して幾つかの注意をうながします。

1:翻訳ソフトに流し込む都合で、大量に含まれていた注釈を全て割愛しています。基本的に本訳文の利用は原文を読むときの参考程度に留められる事を期待いたします。

2:原文もまた、ロシア語からの翻訳です。

 Volume I はロシア語原著からの日本語訳が存在します。

「ロケットに魅せられた人々 第一巻」富田信之、菊次厚子訳 ISBN-9784879740090



とりあえずCPU作ってみた -2007年7月30日(月)23時25分


DesignWave誌2007年7月号付録のFPGA、XC3S250Eは25万ゲート換算というロジック容量もさることながら、内部にコンフィギュラブルなブロックRAMを216kビット内蔵している。そのため、CPUを自作した時、メモリを外付けしないでも作れてしまう。

そういう訳で、これまでロジックだけは色々と書いておきながら、実装するのは躊躇していた様々なCPU自作を、現実の実装のカタチにする、これは機会だ。

しかしいきなり冒険は避け、堅実なヤツで最初は経験を積みたい。という訳で最初の目標は、アポロ誘導コンピュータの初期の原型機、Mod1bのVHDLによるハードウェアエミュレーションだ。


Mod1bは1960年から61年にかけてMIT計装技術研究所で開発された、極めてシンプルなコンピュータである。RAMは64ワード、クロックは200kHzで14clockかけて1命令実行、命令はわずか4種類しかない。命令は単純な1オペランド、間接参照も相対参照も無い。

実装したエミュレータは、まず速度が400倍の5.5MIPS、メモリは面倒くさかったのでメモリ空間512ワード全域(スイッチとランプのレジスタを除く)をRAMとした。また、割り込みや1ビットシフトなどの特殊レジスタも実装していない。従って互換性は無い(そもそもFPGAのIOでは互換をとるだけのI/O数を確保できない)ので注意されたい。大体オリジナルはRAMを破壊読出しするし。

コードは以下の通り。


 
-----------------------------------------------------------------
----------------- Apollo Guidance Computer MOD1B
-----------------------------------------------------------------
Library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_MISC.ALL;

entity mod1b is
	port (CLK,RST : in std_logic;
		LED : out std_logic;
		LAMP0 : out std_logic_vector(10 downto 0);
		LAMP1 : out std_logic_vector(10 downto 0);
		LAMP2 : out std_logic_vector(10 downto 0);
        SWITCH0 : in std_logic_vector(10 downto 0);
        SWITCH1 : in std_logic_vector(10 downto 0));
end mod1b;

architecture structural of mod1b is

component memor is
	port (clka: IN std_logic;
		dina: IN std_logic_VECTOR(11 downto 0);
		addra: IN std_logic_VECTOR(8 downto 0);
		wea: IN std_logic_VECTOR(0 downto 0);
		douta: OUT std_logic_VECTOR(11 downto 0));
end component;

--subtype REGR is std_logic_vector(11 downto 0);--12bit
--type REGD is array(511 downto 0) of REGR; --512word
--signal DAT: REGD;
type t_state1 is(BOOT_ST,RUN_ST,OPE_ST,AFTER_ST,FETCH_ST,HALT_ST,PRE_ST);
signal state1: t_state1;
signal DIN: std_logic_vector(11 downto 0); 
signal DOUT: std_logic_vector(11 downto 0);
signal ADR: std_logic_vector(8 downto 0);
signal WE: std_logic_vector(0 downto 0);

signal A: std_logic_vector(11 downto 0); --Main Register
signal OP: std_logic_vector(11 downto 0); --Main Register
signal PC: std_logic_vector(8 downto 0); --ProgramCounter
signal ADC: std_logic_vector(8 downto 0);
signal DO : std_logic_vector(11 downto 0);
signal DI : std_logic_vector(11 downto 0); 
signal LMP0: std_logic_vector(11 downto 0); --LAMP Register
signal LMP1: std_logic_vector(11 downto 0); --LAMP Register
signal LMP2: std_logic_vector(11 downto 0); --LAMP Register

--signal debug: std_logic;

begin
	MEM: memor port map(clka=>CLK,dina=>DIN,addra=>ADC,
						wea=>WE,douta=>DOUT);

	-- START BOOT_ST
	-- BOOT_ST => FETCH_ST => RUN_ST => OPE_ST => AFTER_ST => FETCH_ST
	-- FETCH_ST : ADC<=PC,
	-- RUN_ST   : OP<=DO,ADC<=DO
	-- OPE_ST   : (WE<='1')
	-- AFTER_ST : ADC<=PC,
	process (CLK,RST,PC,DO,A,state1)
		begin
		if (RST = '0') then
			state1 <= BOOT_ST;
			ADC <= "000000000";
		else
			if (CLK'event and CLK='1') then
				case state1 is
					when BOOT_ST =>
						if(ADC = "111111111")then
							ADC <= "000010000";
							PC  <= "000010000";
							state1 <= FETCH_ST;
							WE(0) <= '0';
						else
							ADC <= ADC + 1;
							WE(0) <= '1';
						end if;
					when RUN_ST  =>
						WE(0) <= '0';
						OP  <= DO;
						ADC <= DO(9 downto 1);
					--	state1 <= OPE_ST;
						state1 <= PRE_ST;
					when PRE_ST  =>
						state1 <= OPE_ST;
					when OPE_ST   =>
						case OP(11 downto 10) is
							when "00" => --NOR
							--	A <= A nor DO;
							-- なんてこった!NORじゃ無ぇ!ANDだ!
								A <= A and (not DO);
								PC <= PC + 1;
								WE(0) <= '0';
							when "01" => --TSN
							--レジスタの値をアドレス位置に格納する
								DI <= A;
								A <= "111111111111";
								PC <= PC + 1;
								WE(0) <= '1';
							when "10" => --AOTSN
							--レジスタの値を1足してアドレス位置に格納する
								DI <= A + 1;
								A <= "111111111111";
								PC <= PC + 1;
								WE(0) <= '1';
							when "11" => --BNZN
							--レジスタの値が0ならアドレス位置に分岐
								if(A = "000000000000")then
									A <= "111111111111";
									PC <= PC + 1;
								else
									A <= "111111111111";
									PC <= OP(9 downto 1);
								end if;
								WE(0) <= '0';
							when others =>
								WE(0) <= '0';
						end case;
						if(PC = "011111111")then --Program HALT
							state1 <= HALT_ST;
						else
							state1 <= AFTER_ST;
						end if;
					when AFTER_ST =>
						state1 <= FETCH_ST;
						ADC <= PC;
						WE(0) <= '0';
					when FETCH_ST =>
						state1 <= RUN_ST;
						ADC <= PC;
						WE(0) <= '0';
					when HALT_ST =>
						ADC <= "000000000";
						WE(0) <= '0';
					when others =>
						ADC <= "000000000";
						WE(0) <= '0';
				end case;
			end if;
		end if;
	end process;

	process (state1,ADC,SWITCH0,SWITCH1,DI,DOUT,LMP0,LMP1,LMP2)
		begin
		case state1 is
			when BOOT_ST =>
				case ADC is
					--Program start 0x10
					when "000010000" => DIN <= "110000100010"; -- BNZN 0x11
					when "000010001" => DIN <= "010001000001"; -- TSN 0x20
					when "000010010" => DIN <= "000001000001"; -- NOR 0x20
					when "000010011" => DIN <= "010001000001"; -- TSN 0x20
					when "000010100" => DIN <= "000001000001"; -- NOR 0x20
					when "000010101" => DIN <= "010001000010"; -- TSN 0x21
					when "000010110" => DIN <= "000001000010"; -- NOR 0x21
					when "000010111" => DIN <= "100001000001"; -- AOTSN 0x20
					when "000011000" => DIN <= "000001000001"; -- NOR 0x20
					when "000011001" => DIN <= "010001000010"; -- TSN 0x21
					when "000011010" => DIN <= "000001000010"; -- NOR 0x21
					when "000011011" => DIN <= "010000000110"; -- TSN LAMP(0)
					when "000011100" => DIN <= "110000101001"; -- BNZN 0x14
					when others =>      DIN <= "000000000000";
				end case;
			when others =>
				if (ADC(8 downto 3)= "000000") then
					if (CLK'event and CLK='1') then
						case ADC(2 downto 0) is
							when "000" => --SWITCH 0
								DO(11 downto 1) <= SWITCH0;
								DO(0) <= '0';
							when "010" => --SWITCH 1
								DO(11 downto 1) <= SWITCH1;
								DO(0) <= '0';
							when "011" => --LAMP 0
								LMP0 <= DI;
							when "100" => --LAMP 1
								LMP1 <= DI;
							when "101" => --LAMP 2
								LMP2 <= DI;
							when others => 
						end case;
					end if;
				else	--Memory Ope
					DO  <= DOUT;
				end if;
				DIN <= DI;
		end case;
		LAMP0 <= LMP0(11 downto 1);
		LAMP1 <= LMP1(11 downto 1);
		LAMP2 <= LMP2(11 downto 1);
	end process;

--	LED <= debug;
	LED <= LMP0(10);
end structural;
  

プログラムは起動直後にRAMに書き込まれる。

プログラムはただのカウンタで、無限ループを1つ巡るたびに1つづつカウントアップされる。カウンタ最上位がLEDに出力されるが、1周期が36μ秒と短いので明滅は肉眼では判別できない。従って動作はオシロとシミュレーションで確認した。


作るのに時間がかかった原因のひとつが、命令の一つ、NORが、我々の知るNOR論理とは違う代物、実際のところANDだったという点だ。これはショックだった。道理でまともなプログラムが書けない訳だ。



同志グルシコのエンジン開発の流れ -2007年4月6日(金)01時53分


LV0 液酸?低温酸化剤だろ?どうでもいいよ… :RD-1(硝酸+ケロシン)

LV1 ドイツは凄いな。液酸ってのは結構いいかも… :RD-101(液酸+アルコール)

LV2 液酸ケロシンって理想じゃね?理想の推進剤って感じ… :RD-107(液体酸素+ケロシン)

LV3 ヒドラジン別に良くないのに上段向きとかうぜぇ :RD-119(液体酸素+ヒドラジン)

LV4 ヒドラジン結婚してくれ! :RD-214(硝酸+ヒドラジン)

LV5 やべぇヒドラジン最高!ヒドラジンと四酸化二窒素があれば生きていける! :RD-251(四酸化二窒素+ヒドラジン)

LV6 ヒドラジンと結婚した!俺はヒドラジンと結婚したぞ! :RD-264(四酸化二窒素+ヒドラジン)

LV7 やっぱ液酸液水は最高だわ :RD-0120(液体酸素+液体水素)

MAX ケロシンとちゅっちゅしたいよぉ〜 :RD-180(液体酸素+ケロシン)

---------------------------------------------------------------------

いや、なんとなく……



日本における大陸間弾道ミサイル開発史#1 -2007年4月1日(日)00時26分


日本の弾道ミサイル開発は、2006年6月の自民党本部での防衛宇宙技術に関する勉強会をその始まりとすることができる。この席上で”無責任な立場で、ざっと”日本が現有の宇宙用ロケットを転用して弾道ミサイルを持つとしたら、どのようなものになるか、意見を求められたとある人物、特に名を秘す人物が、否定的なニュアンスで語った内容こそ、その後の国産大陸間弾道ミサイルの基礎概念そのものであったからだ。

彼はH-IIAを例にとり、実用性は極めて低いが可能であると説明した。彼の説明の前半分が、その会合の参加者の頭にどの程度残留したかは疑問である。この会合の一週間後、北朝鮮の弾道ミサイル大量試射の直後であるが、政府内部会において、具体的な弾道ミサイル検討が防衛省及び関係企業に指示されたが、そのアウトラインは、既にH-IIAをベースとしたものであったとされる。

国産弾道ミサイルの開発は、2007年4月1日の閣議決定をもって正式にスタートしたが、この時には基本設計は完成していた。開発にかかるコストは最低限で済むと説明が行なわれていた。

この弾道ミサイル、10式対地弾道弾は99%までH-IIA 202 そのものである。二段目上端の弾頭インタフェイスだけは大幅な変更が加えられていたが、運用は当然H-IIAに準じたものとなる。

最初の試射はJAXA種子島宇宙センターから2008年11月に行なわれたが、このときは情報収集衛星の技術試験機の打ち上げであるとアナウンスされていた。ご丁寧にも、10式対地弾道弾用に開発されたフェアリングには、H-IIAの標準型フェアリングに似せたダミーのマンホールまで加工してあった。

打ち上げは予定より2日遅れたが、これは地上系の不具合が原因のものであり、おおむね大きな不具合も無く打ち上げは成功した。が、この打ち上げは予定通り、失敗したとアナウンスされた。イースター島西沖合の着弾点の精度は期待通りであることが、観測を委託した民間船によって確認された。この打ち上げ失敗の原因は一段目エンジンの出力不足と報道された。


最大の問題は弾頭であった。10式対地弾道弾は11.5トンの弾頭を運搬可能であったが、当初はTNT換算爆発力で10トンを越える、米軍のMOABを越える超大型精密誘導爆弾の搭載が予定されていた。これは戦術的には極めて興味深い装備では有るが、政治的にはほとんど意味が無い。弾道ミサイルの主用途が政治的揺さぶりである以上、単なる大型爆弾では意味が無いとの意見が関係者調整部会で出た。いやでっかいキノコ雲出来るしいーじゃん、という意見もあったが、搭載弾頭は検討課題ということで一旦白紙とされた。

真に必要とされたのは核であったが、それは問題外であった。政府は、核ではないがそれっぽい爆弾、通称"反応弾"(N2爆弾みたいなもの、という発言があったとも伝えられる。)を欲しがったが、そんなモノがある筈も無かった。

高レベル放射性廃棄物を弾頭に詰めるという案も真剣に検討された。歴史的にも、核弾頭の開発前には、弾道ミサイルのペイロードとして放射線性物質を積むという案が米ソ双方で検討されている。原子炉を弾頭にするというアイディアはこの辺りから出てきたものと思われる。

原子炉を弾頭とするメリットは、それが爆弾ではないという一点に尽きる。兵器ではなく地球に優しい国際的エネルギー支援であり、それをとびっきり高速な運搬手段でお届けするのだ。

炉心は特別に設計された小型のもので、商業用加圧軽水炉用の燃料集合体を4個収めた、高さ6メートル幅1メートルの、縦に細長いステンレス鋼の容器で、その上部に制御棒駆動機構が取り付いている。一次冷却水系はとってつけたような代物でしかない。何せ蒸気発生器が付いていないのだ。この炉心に遮蔽容器は存在しない。この原子炉の運用モードは運転をしないのが正常で、ある種の非常事態においてだけ制御棒が引き揚げられる。その際、極めて有り得ない偶然が重なって、一次冷却水が全て排出される事態となった場合、炉心温度は極めて上昇し、短時間で炉心融解の事態に至る。このプロセスは原子炉が目的地に到着する100秒前に開始される予定だった。この例えこのプロセスに至るような事故が起こらなかったとしても、この全重量8トンの高密度物体は、あらゆる遮蔽、例えば商業用原子炉の遮蔽容器をいとも簡単に破壊するだろうと計算された。

この"海外支援用700kW級小型軽水炉"を、国産原子力潜水艦の原子炉にと画策する向きも有ったようだが、この恐ろしく出来の悪い代物をどうにかできたかどうかは疑問である。2018年11月に東シナ海で沈んだ国籍不明の原潜との関連を噂されることもあるが、ありえない話である。

この小型原子炉は日本における核開発としては異例のハイペースで開発が進み、2009年8月には1号試験炉が臨界に達した。その後ひと月で特性が検証され、11月には2号炉が打ち上げ試験モデルとして構造試験に、3号炉が電気試験に廻された。2010年4月には最初のフライトモデルが完成した。


10式対地弾道弾の試験2号機打ち上げは"海外支援用700kW級小型軽水炉"フライトモデルの搭載が予定されていたが、種子島からの打ち上げは、漁業関係者の協力が得られる見込みが無かったため拒否されていた。勿論今後の運用を考えても種子島のみでの運用は論外であった。

10式対地弾道弾の打ち上げ運用は空自の第1、第2高射群によって行なわれ、計4基の射場が建設される予定であったが、予算の都合上、当面は芦屋基地に建設される新射場のみの運用となることが確定していた。射場建設は飛行場と固定翼機運用設備の廃止によってようやく可能となった。

サイロを使った運用が望まれたが、時間及び予算の都合、そしてホットローンチで機体を傷つけない方策がどうしても見つからなかったので、種子島に準じた射点が建設される事となった。どちらにしてもサイロを建設しても馬鹿でかい蓋が必要となり、ほとんどサイロの意味が無くなることは自明でもあった。

芦屋からの打ち上げは、2010年10月に行なわれた。見学した宇宙開発関係者はここで一様にカルチャーショックに襲われている。「俺、こういう光景、中国で見た事があるよ」とこぼす関係者もいた。搭載した原子炉が、どのようにしてある種の安全性基準をクリアしたのかは不明である。そもそも適用された基準の種類が公開されていない。

2号機の打ち上げは当初地上系の都合で2週間延期され、更に機体の都合でひと月延期されたが、打ち上げそのものは成功し、"海外支援用700kW級小型軽水炉"フライトモデルはメルトダウンすることも無く、イースター島沖海底から回収された。


こうして10式対地弾道弾は公式に配備となった。実際の配備は翌年4月だが、試験打ち上げ成功直後に制式となっている。

政府は世界に対して、”日本は1時間以内に環境に優しいエネルギープラントをどこへでも無償貸与可能となった”と宣言した。正直なところ、世界各国はこの訳の判らない宣言に当惑し、恐怖した。”こいつら頭おかしいんじゃないか?”と思われたなら、外交としての10式対地弾道弾の配備は成功の部類であろう。

2011年6月、北朝鮮情勢の緊迫に伴い、政府は、北朝鮮への緊急エネルギー支援の準備と、緊急事態における即時対応を閣議決定した。これに伴い、芦屋では打ち上げ準備が始まり、6月22日には2日以内の打ち上げが可能状況となった。

しかし、情勢は膠着状態となり、2日以内の打ち上げが可能状況を三週間維持した辺りで、運用部隊の中からダウンする者が出始めた。7月末、隊員の一人がどうやって持ち込んだのか不明の日本刀を振りかざし、「朝鮮柳生が攻めてきたぞ」等と叫びながら周囲に斬りかかり、数人に怪我を負わせて取り押さえられる事態が発生した。これが直接の原因であるかは不明だが、翌月早くも後継機開発が決定されている。


10式対地弾道弾の性能は極めて高かったため、弾頭を軌道上に置きっぱなしにして、使いたいときに軌道離脱させれば良いのではないか、という軌道配備についての検討も行なわれた。核弾頭ではないため、軌道配備核ではない、というのが理屈だったが、デブリの影響を指摘した一部筋によってこれはお流れになった。代わりに浮上したのが部分軌道爆撃システム(FOBS)である。この開発はシークレットサービス社に委託されたが、同社が功徳兵器の試験中に豪運を炸裂させる事故を起こして一年間の取引停止を食らい、そのまま潰れてしまったために、開発はそこで中止となった。


この後しばらく、2016年前後の”原子炉押し付け配備競争”の始まりまで、日本は諸外国から腫れ物を扱うように接せられた。経済力のある北朝鮮、というのが専らの評判であったが、中国も原子炉の急速無償貸与を実用化したと宣言した事によって、世界は新たな冷戦の時代へと突入してゆくこととなる。


-------------------------------------------------------------------

当たり前ですが上記、嘘です。日付参照のこと。

たまにこーいうの書きたくなる時があるんです。反省しています。



JavaScript再入門 -2007年3月29日(木)21時39分


JavaScriptは、我々の最も身近にあるプログラム実行環境の一つである。また同時に、極めて低コストにプログラムを開発できる環境でもある。何しろブラウザとメモ帳でプログラムが書けるのだ。

しかし、複雑なこと出来ないし……という考え方が長いこと支配的であったことも事実である。絵が描けないのはそういう欠点の一つだ。

だが、JavaScriptにはブラウザがある。ネットがある。極めてリッチで洗練されたユーザインタフェイスがそこには存在する。何も全てのプログラムが美麗なグラフィックを必要としている訳ではない。ネット上にUIを置けるJavaScriptプログラムは、プログラムを公開して公共の利用に供する事に極めて適している。


さて、JavaScript再入門と相成った訳だが、幾つか気が付いた点がある。まず、入門書として、"Ajax"という名前が表紙に踊っていない本を買うべきでは無い、という点。

JavaScript参考書は3タイプに大別できる。まずNetscape時代の、古いバージョン向けの入門書。自分はこれで昔勉強したが、もはや本屋で見掛けることは少ないだろう。次が表紙にDHTMLという文句が書かれている本。これが最も役に立たない。ここで書かれているテクニックの大半は、Firefoxのコンテンツオプションの詳細設定で、デフォルトで機能がOFFされているものばかりである。要するにブラクラ作るのが精一杯という代物だ。そして最後がAjaxだ。

Ajaxとは簡単に言えば、GoogleMapみたいなものを実現する技術だ。当然だが昔のコナミのアーケードゲームとは無関係である。Web2.0と言い換えても良い。で、これにJavaScriptが結構使われている。そんな訳でAjaxは流行り文句なのであるが、今時のJavaScriptを学ぶため必要な知識、即ちDOMをきちんと学べるのは、この種類の参考書以外には無い。

DOMとは何かと言えば、ブラウザで表示されている内容を、好き勝手に弄る方法である。昔のブラウザで出来なかったことが今出来るのは、今時のブラウザがページをXMLとしてパースしてくれるお陰だ。これによりJavaScriptには、XML形式の階層型データとしてページの隅々にまで自由なアクセスが可能な能力が与えられたのだ。


という訳で、JavaScriptによるプログラミングを広くお勧めしたい。

デバッガとしてはFirebugの使用を推奨する。昔読んだ参考書では、JavaScriptで独自オブジェクトをどうやって作るのかすら判らなかったが、やってみると極めて素直で単純だった。いろいろ書いてみたいところだが、まず手始めに、こないだのRubyスクリプトをJavaScriptに書き換えてみた。

計算するミサイルを選んで、ボタンをぽちっと押してみて欲しい。


R-11

R-11FM

R-17

Nodong-1




-過去ログ-



-戻る-